Skip to content
Unstable

Console Output

Started by timer
Running as SYSTEM
Building remotely on build2-deb11build-ansible (ttcn3 obs osmocom-gerrit coverity osmocom-master) in workspace /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring
The recommended git tool is: NONE
No credentials specified
Wiping out workspace first.
Cloning the remote Git repository
Cloning repository https://gerrit.osmocom.org/docker-playground
 > git init /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring # timeout=10
Fetching upstream changes from https://gerrit.osmocom.org/docker-playground
 > git --version # timeout=10
 > git --version # 'git version 2.30.2'
 > git fetch --tags --force --progress -- https://gerrit.osmocom.org/docker-playground +refs/heads/*:refs/remotes/origin/* # timeout=10
 > git config remote.origin.url https://gerrit.osmocom.org/docker-playground # timeout=10
 > git config --add remote.origin.fetch +refs/heads/*:refs/remotes/origin/* # timeout=10
Avoid second fetch
Seen branch in repository origin/arehbein/devtests
Seen branch in repository origin/arehbein/devtests%topic=fixes
Seen branch in repository origin/daniel/bscnat_tests
Seen branch in repository origin/daniel/training
Seen branch in repository origin/daniel/wip
Seen branch in repository origin/fixeria/confmerge
Seen branch in repository origin/fixeria/sccplite
Seen branch in repository origin/fixeria/testing
Seen branch in repository origin/jolly/testing
Seen branch in repository origin/laforge/ergw
Seen branch in repository origin/laforge/fr
Seen branch in repository origin/laforge/ns
Seen branch in repository origin/laforge/podman
Seen branch in repository origin/lynxis/gerrit-comment-ci
Seen branch in repository origin/master
Seen branch in repository origin/neels/hnbgw-pfcp
Seen branch in repository origin/neels/wip
Seen branch in repository origin/osmith/fix-registry-pull
Seen branch in repository origin/osmith/fix-rpi-gnutls
Seen branch in repository origin/osmith/obs-2021q1
Seen branch in repository origin/osmith/rpm-local
Seen branch in repository origin/osmith/ttcn3-pass-args
Seen branch in repository origin/osmith/wip
Seen branch in repository origin/osmith/wip-4g-only
Seen branch in repository origin/osmith/wip-asan
Seen branch in repository origin/pespin/bts-perf
Seen branch in repository origin/pespin/ergw
Seen branch in repository origin/pespin/gtp1
Seen branch in repository origin/pespin/master
Seen branch in repository origin/pmaier/pcuif
Seen branch in repository origin/refsf/for/master/dyn-pdch
Seen 31 remote branches
 > git show-ref --tags -d # timeout=10
Checking out Revision 8b2711914b63d463d6dd3e1462d18643e1bdd558 (origin/master)
 > git config core.sparsecheckout # timeout=10
 > git checkout -f 8b2711914b63d463d6dd3e1462d18643e1bdd558 # timeout=10
Commit message: "osmo-*-master: don't install libosmo-sccp-dev"
 > git rev-list --no-walk e4544a555b270c0a321b977d7e412626a73275f9 # timeout=10
[ttcn3-bts-test-io_uring] $ /bin/sh -xe /tmp/jenkins1191477255474614670.sh + export REGISTRY_HOST=registry.osmocom.org + echo ttcn3-bts-test-io_uring + sed s/-io_uring$// + DIR=ttcn3-bts-test + export DOCKER_ARGS= -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json + cd ttcn3-bts-test + ./jenkins.sh + [ x = x ] + REPO_USER=osmocom-build + [ x/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring = x ] + VOL_BASE_DIR=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs + mkdir -p /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs + [ ! -d /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs ] + [ xjenkins-ttcn3-bts-test-io_uring-120 = x ] + basename /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/ttcn3-bts-test + SUITE_NAME=ttcn3-bts-test + IMAGE_SUFFIX=master + docker_images_require osmo-bsc-master osmo-bts-master osmocom-bb-host-master ttcn3-bts-test + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bsc-master + local feed + echo debian-bookworm-build + depends=debian-bookworm-build + [ -n debian-bookworm-build ] + docker_images_require debian-bookworm-build + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-build + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-build + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-build + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-build + echo debian-bookworm-build + dir=debian-bookworm-build + pull_arg=--pull + grep ^FROM ../debian-bookworm-build/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-build (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-build BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-build OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/debian-bookworm-build' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ --pull -t osmocom-build/debian-bookworm-build:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 5.76kB done #1 DONE 0.1s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.1s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.0s #5 [internal] load build context #5 DONE 0.0s #6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12//Release #6 DONE 0.0s #7 https://gitea.osmocom.org/sim-card/pysim/raw/branch/master/requirements.txt #7 DONE 0.0s #8 [ 1/16] FROM registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf #8 resolve registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf #8 resolve registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf 0.2s done #8 DONE 0.2s #9 https://gerrit.osmocom.org/plugins/gitiles/osmo-gsm-manuals/+/master?format=TEXT #9 DONE 0.4s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.3s #10 https://gerrit.osmocom.org/plugins/gitiles/python/osmo-python-tests/+/master?format=TEXT #10 DONE 0.4s #11 https://gerrit.osmocom.org/plugins/gitiles/osmo-ci/+/master?format=TEXT #11 DONE 0.4s #12 [ 7/16] ADD HTTPS://GITEA.OSMOCOM.ORG/SIM-CARD/PYSIM/RAW/BRANCH/MASTER/REQUIREMENTS.TXT /tmp/pysim_requirements.txt #12 CACHED #13 [14/16] RUN GIT -C /opt clone --depth=1 https://gerrit.osmocom.org/osmo-gsm-manuals #13 CACHED #14 [13/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-GSM-MANUALS/+/MASTER?FORMAT=TEXT /tmp/osmo-gsm-manuals-commit #14 CACHED #15 [ 4/16] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #15 CACHED #16 [12/16] RUN set -x && git clone --depth=1 https://gerrit.osmocom.org/osmo-ci osmo-ci && su build -c "cd osmo-ci/scripts && cp -v *.sh *.py /usr/local/bin" && rm -rf osmo-ci #16 CACHED #17 [ 3/16] COPY .COMMON/RELEASE.KEY /etc/apt/trusted.gpg.d/obs.osmocom.org.asc #17 CACHED #18 [ 5/16] RUN IF [ "$(arch)" != "x86_64" ]; then echo "ERROR: use debian-bookworm-build-arm instead"; exit 1; fi && set -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates libtinfo5 wget && apt-get clean && wget https://github.com/ARM-software/LLVM-embedded-toolchain-for-Arm/releases/download/release-14.0.0/LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && tar -xf LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && rm LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && mv LLVMEmbeddedToolchainForArm-14.0.0 /opt/llvm-arm && /opt/llvm-arm/bin/clang --version && /opt/llvm-arm/bin/clang --print-targets #18 CACHED #19 [ 6/16] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends asciidoc asciidoc-dblatex autoconf autoconf-archive autogen automake bc bison build-essential bzip2 ca-certificates ccache cmake coccinelle cppcheck curl dahdi-source dblatex dbus debhelper devscripts dh-autoreconf docbook5-xml doxygen equivs flex g++ gawk gcc gcc-arm-none-eabi ghostscript git gnupg graphviz htop iproute2 latexmk lcov libaio-dev libasound2-dev libbladerf-dev libboost-all-dev libc-ares-dev libcdk5-dev libcsv-dev libdbd-sqlite3 libdbi-dev libelf-dev libffi-dev libfftw3-dev libgmp-dev libgnutls28-dev libgps-dev libgsm1-dev libjansson-dev liblua5.3-dev libmnl-dev libncurses5-dev libnewlib-arm-none-eabi libnftables-dev libnftnl-dev libnl-3-dev libnl-route-3-dev liboping-dev libortp-dev libpcap-dev libpcsclite-dev libreadline-dev librsvg2-bin libsctp-dev libsigsegv-dev libsnmp-dev libsofia-sip-ua-glib-dev libsqlite3-dev libssl-dev libtalloc-dev libtinfo5 libtool liburing-dev libusb-1.0-0-dev libusb-dev libxml2-utils libzmq3-dev locales lua-socket make mscgen ofono openssh-client patchelf picolibc-arm-none-eabi pkg-config pylint python3 python3-gi python3-mako python3-nwdiag python3-pip python3-pyflakes python3-setuptools python3-usb python3-yaml rsync sdcc source-highlight sqlite3 stow sudo swig systemd tcpdump telnet tex-gyre texinfo unzip virtualenv xsltproc && apt-get clean #19 CACHED #20 [10/16] RUN SET -x && git clone --depth=1 https://gerrit.osmocom.org/python/osmo-python-tests osmo-python-tests && cd osmo-python-tests && python3 setup.py clean build install && cd .. && rm -rf osmo-python-tests #20 CACHED #21 [ 8/16] RUN SET -x && cat /tmp/pysim_requirements.txt && pip3 install --break-system-packages 'git+https://github.com/eriwen/lcov-to-cobertura-xml.git' 'git+https://github.com/osmocom/sphinx-argparse@inside-classes#egg=sphinx-argparse' 'git+https://github.com/podshumok/python-smpplib.git' 'pydbus' 'pysispm' 'sphinx' 'sphinxcontrib-napoleon' -r /tmp/pysim_requirements.txt #21 CACHED #22 [ 9/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/PYTHON/OSMO-PYTHON-TESTS/+/MASTER?FORMAT=TEXT /tmp/osmo-python-tests-commit #22 CACHED #23 [11/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-CI/+/MASTER?FORMAT=TEXT /tmp/osmo-ci-commit #23 CACHED #24 [ 2/16] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #24 CACHED #25 [15/16] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY/DEBIAN_12//RELEASE /tmp/Release #25 CACHED #26 [16/16] RUN SET -x && echo "deb [signed-by=/etc/apt/trusted.gpg.d/obs.osmocom.org.asc] https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly.list && apt-get update && apt-get install -y --no-install-recommends liblimesuite-dev libuhd-dev libulfius-dev && apt-get clean #26 CACHED #27 exporting to image #27 exporting layers done #27 writing image sha256:36a77bae47c0dd264ccb13adcc64bd0957f8681a7b7e6514f6b63a481868ee1a 0.0s done #27 naming to docker.io/osmocom-build/debian-bookworm-build:latest 0.0s done #27 DONE 0.1s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/debian-bookworm-build' + docker_image_exists debian-bookworm-build + docker images -q osmocom-build/debian-bookworm-build + test -n 36a77bae47c0 + list_osmo_packages debian-bookworm debian-bookworm-build + local distro=debian-bookworm + local image=debian-bookworm-build + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-build -c + [ -n ] + return + docker_distro_from_image_name osmo-bsc-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bsc-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bsc-master + echo osmo-bsc-master + dir=osmo-bsc-master + pull_arg=--pull + grep ^FROM ../osmo-bsc-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmo-bsc-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bsc-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bsc-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/osmo-bsc-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/osmo-bsc-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.40kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [internal] load build context #4 DONE 0.0s #5 [1/8] FROM docker.io/osmocom-build/debian-bookworm-build:latest #5 CACHED #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libosmo-sigtran-dev libosmo-mgcp-client-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmo-mgcp-client)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmo-sigtran)" "pkgconfig(libosmoabis)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmovty)" ;; esac #6 ... #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bsc/+/master?format=TEXT #7 DONE 0.3s #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bsc/+/master?format=TEXT #7 CACHED #4 [internal] load build context #4 transferring context: 4.21kB done #4 DONE 0.1s #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libosmo-sigtran-dev libosmo-mgcp-client-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmo-mgcp-client)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmo-sigtran)" "pkgconfig(libosmoabis)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmovty)" ;; esac #6 0.577 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.577 Get:2 http://deb.debian.org/debian bookworm-updates InRelease [55.4 kB] #6 0.587 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #6 0.701 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #6 0.904 Fetched 55.4 kB in 0s (149 kB/s) #6 0.904 Reading package lists... #6 1.279 Reading package lists... #6 1.655 Building dependency tree... #6 1.749 Reading state information... #6 1.834 The following additional packages will be installed: #6 1.834 libgtp10 libosmo-mgcp-client14 libosmo-sigtran10 libosmoabis13 libosmocodec4 #6 1.834 libosmocoding0 libosmocore libosmocore22 libosmoctrl0 libosmogb14 #6 1.834 libosmogsm20 libosmoisdn0 libosmonetif11 libosmosim2 libosmotrau10 #6 1.835 libosmousb0 libosmovty13 osmocom-nightly #6 1.862 The following NEW packages will be installed: #6 1.862 libgtp-dev libgtp10 libosmo-abis-dev libosmo-mgcp-client-dev #6 1.862 libosmo-mgcp-client14 libosmo-netif-dev libosmo-sigtran-dev #6 1.862 libosmo-sigtran10 libosmoabis13 libosmocodec4 libosmocoding0 libosmocore #6 1.862 libosmocore-dev libosmocore22 libosmoctrl0 libosmogb14 libosmogsm20 #6 1.862 libosmoisdn0 libosmonetif11 libosmosim2 libosmotrau10 libosmousb0 #6 1.863 libosmovty13 osmocom-nightly #6 1.902 0 upgraded, 24 newly installed, 0 to remove and 0 not upgraded. #6 1.902 Need to get 3273 kB of archives. #6 1.902 After this operation, 12.5 MB of additional disk space will be used. #6 1.902 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202409092026 [1180 B] #6 1.905 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.12.6e1e.202409092026 [168 kB] #6 1.908 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp10 1.12.0.6.8c01.202409092026 [39.0 kB] #6 1.911 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp-dev 1.12.0.6.8c01.202409092026 [141 kB] #6 1.915 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.12.6e1e.202409092026 [50.5 kB] #6 1.918 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmotrau10 1.6.0.202409092026 [30.5 kB] #6 1.920 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.12.6e1e.202409092026 [69.7 kB] #6 1.923 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.12.6e1e.202409092026 [227 kB] #6 1.926 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.12.6e1e.202409092026 [103 kB] #6 1.928 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoabis13 1.6.0.202409092026 [73.3 kB] #6 1.929 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-abis-dev 1.6.0.202409092026 [113 kB] #6 1.931 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.12.6e1e.202409092026 [70.4 kB] #6 1.932 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.12.6e1e.202409092026 [177 kB] #6 1.934 Get:14 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.12.6e1e.202409092026 [58.8 kB] #6 1.934 Get:15 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.12.6e1e.202409092026 [62.9 kB] #6 1.935 Get:16 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.12.6e1e.202409092026 [49.6 kB] #6 1.936 Get:17 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.12.6e1e.202409092026 [42.9 kB] #6 1.936 Get:18 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.12.6e1e.202409092026 [846 kB] #6 1.945 Get:19 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmonetif11 1.5.1.2.84500.202409092026 [53.7 kB] #6 1.945 Get:20 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-netif-dev 1.5.1.2.84500.202409092026 [65.9 kB] #6 1.946 Get:21 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-sigtran10 1.9.0.3.fc4c.202409092026 [124 kB] #6 1.947 Get:22 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-sigtran-dev 1.9.0.3.fc4c.202409092026 [584 kB] #6 1.953 Get:23 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-mgcp-client14 1.13.0.3.227a.202409092026 [57.7 kB] #6 1.967 Get:24 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-mgcp-client-dev 1.13.0.3.227a.202409092026 [66.5 kB] #6 2.138 debconf: delaying package configuration, since apt-utils is not installed #6 2.218 Fetched 3273 kB in 0s (32.5 MB/s) #6 2.316 Selecting previously unselected package osmocom-nightly. #6 2.316 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117405 files and directories currently installed.) #6 2.368 Preparing to unpack .../00-osmocom-nightly_202409092026_amd64.deb ... #6 2.390 Unpacking osmocom-nightly (202409092026) ... #6 2.614 Selecting previously unselected package libosmocore22:amd64. #6 2.624 Preparing to unpack .../01-libosmocore22_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 2.697 Unpacking libosmocore22:amd64 (1.10.0.12.6e1e.202409092026) ... #6 2.921 Selecting previously unselected package libgtp10:amd64. #6 2.932 Preparing to unpack .../02-libgtp10_1.12.0.6.8c01.202409092026_amd64.deb ... #6 2.950 Unpacking libgtp10:amd64 (1.12.0.6.8c01.202409092026) ... #6 3.116 Selecting previously unselected package libgtp-dev:amd64. #6 3.127 Preparing to unpack .../03-libgtp-dev_1.12.0.6.8c01.202409092026_amd64.deb ... #6 3.152 Unpacking libgtp-dev:amd64 (1.12.0.6.8c01.202409092026) ... #6 3.363 Selecting previously unselected package libosmocodec4:amd64. #6 3.372 Preparing to unpack .../04-libosmocodec4_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 3.391 Unpacking libosmocodec4:amd64 (1.10.0.12.6e1e.202409092026) ... #6 3.591 Selecting previously unselected package libosmotrau10:amd64. #6 3.601 Preparing to unpack .../05-libosmotrau10_1.6.0.202409092026_amd64.deb ... #6 3.622 Unpacking libosmotrau10:amd64 (1.6.0.202409092026) ... #6 3.813 Selecting previously unselected package libosmoisdn0:amd64. #6 3.824 Preparing to unpack .../06-libosmoisdn0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 3.848 Unpacking libosmoisdn0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.027 Selecting previously unselected package libosmogsm20:amd64. #6 4.037 Preparing to unpack .../07-libosmogsm20_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.056 Unpacking libosmogsm20:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.268 Selecting previously unselected package libosmovty13:amd64. #6 4.278 Preparing to unpack .../08-libosmovty13_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.301 Unpacking libosmovty13:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.498 Selecting previously unselected package libosmoabis13:amd64. #6 4.508 Preparing to unpack .../09-libosmoabis13_1.6.0.202409092026_amd64.deb ... #6 4.540 Unpacking libosmoabis13:amd64 (1.6.0.202409092026) ... #6 4.703 Selecting previously unselected package libosmo-abis-dev:amd64. #6 4.711 Preparing to unpack .../10-libosmo-abis-dev_1.6.0.202409092026_amd64.deb ... #6 4.734 Unpacking libosmo-abis-dev:amd64 (1.6.0.202409092026) ... #6 4.952 Selecting previously unselected package libosmocoding0:amd64. #6 4.963 Preparing to unpack .../11-libosmocoding0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.987 Unpacking libosmocoding0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.181 Selecting previously unselected package libosmogb14:amd64. #6 5.192 Preparing to unpack .../12-libosmogb14_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 5.221 Unpacking libosmogb14:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.412 Selecting previously unselected package libosmoctrl0:amd64. #6 5.422 Preparing to unpack .../13-libosmoctrl0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 5.443 Unpacking libosmoctrl0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.606 Selecting previously unselected package libosmosim2:amd64. #6 5.617 Preparing to unpack .../14-libosmosim2_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 5.642 Unpacking libosmosim2:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.811 Selecting previously unselected package libosmousb0:amd64. #6 5.822 Preparing to unpack .../15-libosmousb0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 5.851 Unpacking libosmousb0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.004 Selecting previously unselected package libosmocore. #6 6.015 Preparing to unpack .../16-libosmocore_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 6.047 Unpacking libosmocore (1.10.0.12.6e1e.202409092026) ... #6 6.217 Selecting previously unselected package libosmocore-dev:amd64. #6 6.227 Preparing to unpack .../17-libosmocore-dev_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 6.261 Unpacking libosmocore-dev:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.441 Selecting previously unselected package libosmonetif11:amd64. #6 6.450 Preparing to unpack .../18-libosmonetif11_1.5.1.2.84500.202409092026_amd64.deb ... #6 6.466 Unpacking libosmonetif11:amd64 (1.5.1.2.84500.202409092026) ... #6 6.578 Selecting previously unselected package libosmo-netif-dev:amd64. #6 6.588 Preparing to unpack .../19-libosmo-netif-dev_1.5.1.2.84500.202409092026_amd64.deb ... #6 6.604 Unpacking libosmo-netif-dev:amd64 (1.5.1.2.84500.202409092026) ... #6 6.740 Selecting previously unselected package libosmo-sigtran10:amd64. #6 6.751 Preparing to unpack .../20-libosmo-sigtran10_1.9.0.3.fc4c.202409092026_amd64.deb ... #6 6.768 Unpacking libosmo-sigtran10:amd64 (1.9.0.3.fc4c.202409092026) ... #6 6.886 Selecting previously unselected package libosmo-sigtran-dev:amd64. #6 6.897 Preparing to unpack .../21-libosmo-sigtran-dev_1.9.0.3.fc4c.202409092026_amd64.deb ... #6 6.913 Unpacking libosmo-sigtran-dev:amd64 (1.9.0.3.fc4c.202409092026) ... #6 7.086 Selecting previously unselected package libosmo-mgcp-client14:amd64. #6 7.097 Preparing to unpack .../22-libosmo-mgcp-client14_1.13.0.3.227a.202409092026_amd64.deb ... #6 7.113 Unpacking libosmo-mgcp-client14:amd64 (1.13.0.3.227a.202409092026) ... #6 7.243 Selecting previously unselected package libosmo-mgcp-client-dev:amd64. #6 7.253 Preparing to unpack .../23-libosmo-mgcp-client-dev_1.13.0.3.227a.202409092026_amd64.deb ... #6 7.268 Unpacking libosmo-mgcp-client-dev:amd64 (1.13.0.3.227a.202409092026) ... #6 7.408 Setting up osmocom-nightly (202409092026) ... #6 7.482 Setting up libosmocore22:amd64 (1.10.0.12.6e1e.202409092026) ... #6 7.536 Setting up libosmocodec4:amd64 (1.10.0.12.6e1e.202409092026) ... #6 7.581 Setting up libosmovty13:amd64 (1.10.0.12.6e1e.202409092026) ... #6 7.626 Setting up libosmoisdn0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 7.672 Setting up libosmotrau10:amd64 (1.6.0.202409092026) ... #6 7.718 Setting up libgtp10:amd64 (1.12.0.6.8c01.202409092026) ... #6 7.769 Setting up libgtp-dev:amd64 (1.12.0.6.8c01.202409092026) ... #6 7.818 Setting up libosmousb0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 7.864 Setting up libosmo-mgcp-client14:amd64 (1.13.0.3.227a.202409092026) ... #6 7.910 Setting up libosmogsm20:amd64 (1.10.0.12.6e1e.202409092026) ... #6 7.956 Setting up libosmoabis13:amd64 (1.6.0.202409092026) ... #6 8.002 Setting up libosmoctrl0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 8.049 Setting up libosmogb14:amd64 (1.10.0.12.6e1e.202409092026) ... #6 8.095 Setting up libosmonetif11:amd64 (1.5.1.2.84500.202409092026) ... #6 8.141 Setting up libosmo-abis-dev:amd64 (1.6.0.202409092026) ... #6 8.188 Setting up libosmo-mgcp-client-dev:amd64 (1.13.0.3.227a.202409092026) ... #6 8.235 Setting up libosmocoding0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 8.282 Setting up libosmosim2:amd64 (1.10.0.12.6e1e.202409092026) ... #6 8.329 Setting up libosmocore (1.10.0.12.6e1e.202409092026) ... #6 8.379 Setting up libosmo-sigtran10:amd64 (1.9.0.3.fc4c.202409092026) ... #6 8.425 Setting up libosmocore-dev:amd64 (1.10.0.12.6e1e.202409092026) ... #6 8.474 Setting up libosmo-netif-dev:amd64 (1.5.1.2.84500.202409092026) ... #6 8.520 Setting up libosmo-sigtran-dev:amd64 (1.9.0.3.fc4c.202409092026) ... #6 8.566 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 8.9s #8 [3/8] WORKDIR /TMP #8 DONE 0.2s #9 [4/8] RUN GIT clone https://gerrit.osmocom.org/osmo-bsc.git #9 0.364 Cloning into 'osmo-bsc'... #9 DONE 1.9s #10 [5/8] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-BSC/+/MASTER?FORMAT=TEXT /tmp/commit-osmo-bsc #10 DONE 0.2s #11 [6/8] RUN CD osmo-bsc && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD && autoreconf -fi && ./configure && make "-j$(nproc)" install #11 0.462 Already on 'master' #11 0.462 Your branch is up to date with 'origin/master'. #11 0.465 refs/heads/master #11 0.514 HEAD is now at 6bfb28ba1 bs11: Move model struct further down in the file #11 0.516 master #11 0.518 6bfb28ba151b35be9b42f0e9c0906240a96e797b #11 3.097 libtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, '.'. #11 3.097 libtoolize: copying file './ltmain.sh' #11 3.191 libtoolize: putting macros in 'm4'. #11 3.191 libtoolize: copying file 'm4/libtool.m4' #11 3.221 libtoolize: copying file 'm4/ltoptions.m4' #11 3.252 libtoolize: copying file 'm4/ltsugar.m4' #11 3.280 libtoolize: copying file 'm4/ltversion.m4' #11 3.308 libtoolize: copying file 'm4/lt~obsolete.m4' #11 3.368 libtoolize: Consider adding 'AC_CONFIG_MACRO_DIRS([m4])' to configure.ac, #11 3.368 libtoolize: and rerunning libtoolize and aclocal. #11 4.661 configure.ac:74: warning: The macro `AC_HEADER_STDC' is obsolete. #11 4.661 configure.ac:74: You should run autoupdate. #11 4.661 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 4.661 configure.ac:74: the top level #11 4.661 configure.ac:130: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.661 configure.ac:130: You should run autoupdate. #11 4.661 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.661 configure.ac:130: the top level #11 4.661 configure.ac:150: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.661 configure.ac:150: You should run autoupdate. #11 4.661 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.661 configure.ac:150: the top level #11 4.661 configure.ac:228: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #11 4.661 configure.ac:228: You should use the 'AC_CONFIG_HEADERS' macro instead. #11 4.661 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #11 4.661 aclocal.m4:1089: AM_CONFIG_HEADER is expanded from... #11 4.661 configure.ac:228: the top level #11 4.661 configure.ac:230: warning: AC_OUTPUT should be used without arguments. #11 4.661 configure.ac:230: You should run autoupdate. #11 5.406 configure.ac:23: installing './compile' #11 5.409 configure.ac:25: installing './config.guess' #11 5.412 configure.ac:25: installing './config.sub' #11 5.415 configure.ac:9: installing './install-sh' #11 5.418 configure.ac:9: installing './missing' #11 5.462 doc/Makefile.am:34: warning: '%'-style pattern rules are a GNU make extension #11 5.462 doc/Makefile.am:37: warning: '%'-style pattern rules are a GNU make extension #11 5.547 src/ipaccess/Makefile.am: installing './depcomp' #11 5.914 checking for a BSD-compatible install... /usr/bin/install -c #11 5.923 checking whether build environment is sane... yes #11 5.936 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 5.939 checking for gawk... gawk #11 5.939 checking whether make sets $(MAKE)... yes #11 5.953 checking whether make supports nested variables... yes #11 5.965 checking whether make supports nested variables... (cached) yes #11 5.965 checking whether make sets $(MAKE)... (cached) yes #11 5.968 checking for gcc... gcc #11 5.997 checking whether the C compiler works... yes #11 6.055 checking for C compiler default output file name... a.out #11 6.057 checking for suffix of executables... #11 6.099 checking whether we are cross compiling... no #11 6.142 checking for suffix of object files... o #11 6.164 checking whether the compiler supports GNU C... yes #11 6.187 checking whether gcc accepts -g... yes #11 6.207 checking for gcc option to enable C11 features... none needed #11 6.240 checking whether gcc understands -c and -o together... yes #11 6.282 checking whether make supports the include directive... yes (GNU style) #11 6.295 checking dependency style of gcc... gcc3 #11 6.341 checking build system type... x86_64-pc-linux-gnu #11 6.410 checking host system type... x86_64-pc-linux-gnu #11 6.410 checking how to print strings... printf #11 6.434 checking for a sed that does not truncate output... /usr/bin/sed #11 6.441 checking for grep that handles long lines and -e... /usr/bin/grep #11 6.444 checking for egrep... /usr/bin/grep -E #11 6.446 checking for fgrep... /usr/bin/grep -F #11 6.449 checking for ld used by gcc... /usr/bin/ld #11 6.455 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 6.458 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 6.462 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 6.486 checking whether ln -s works... yes #11 6.486 checking the maximum length of command line arguments... 1572864 #11 6.494 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 6.494 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 6.494 checking for /usr/bin/ld option to reload object files... -r #11 6.495 checking for file... file #11 6.495 checking for objdump... objdump #11 6.495 checking how to recognize dependent libraries... pass_all #11 6.496 checking for dlltool... no #11 6.496 checking how to associate runtime and link libraries... printf %s\n #11 6.497 checking for ar... ar #11 6.497 checking for archiver @FILE support... @ #11 6.528 checking for strip... strip #11 6.528 checking for ranlib... ranlib #11 6.529 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 6.593 checking for sysroot... no #11 6.593 checking for a working dd... /usr/bin/dd #11 6.598 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 6.617 checking for mt... no #11 6.617 checking if : is a manifest tool... no #11 6.623 checking for stdio.h... yes #11 6.644 checking for stdlib.h... yes #11 6.663 checking for string.h... yes #11 6.687 checking for inttypes.h... yes #11 6.711 checking for stdint.h... yes #11 6.735 checking for strings.h... yes #11 6.756 checking for sys/stat.h... yes #11 6.778 checking for sys/types.h... yes #11 6.806 checking for unistd.h... yes #11 6.834 checking for dlfcn.h... yes #11 6.865 checking for objdir... .libs #11 6.940 checking if gcc supports -fno-rtti -fno-exceptions... no #11 6.967 checking for gcc option to produce PIC... -fPIC -DPIC #11 6.967 checking if gcc PIC flag -fPIC -DPIC works... yes #11 6.986 checking if gcc static flag -static works... yes #11 7.047 checking if gcc supports -c -o file.o... yes #11 7.083 checking if gcc supports -c -o file.o... (cached) yes #11 7.083 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 7.096 checking whether -lc should be explicitly linked in... no #11 7.129 checking dynamic linker characteristics... GNU/Linux ld.so #11 7.195 checking how to hardcode library paths into programs... immediate #11 7.196 checking whether stripping libraries is possible... yes #11 7.198 checking if libtool supports shared libraries... yes #11 7.198 checking whether to build shared libraries... yes #11 7.198 checking whether to build static libraries... yes #11 7.199 checking for pkg-config... /usr/bin/pkg-config #11 7.199 checking for pkg-config... /usr/bin/pkg-config #11 7.200 checking pkg-config is at least version 0.20... yes #11 7.202 checking for libosmocore >= 1.10.0... yes #11 7.212 checking for libosmovty >= 1.10.0... yes #11 7.225 checking for libosmoctrl >= 1.10.0... yes #11 7.237 checking for libosmogsm >= 1.10.0... yes #11 7.247 checking for libosmoabis >= 1.6.0... yes #11 7.258 checking for libosmo-netif >= 1.5.0... yes #11 7.270 checking for libosmo-sigtran >= 1.9.0... yes #11 7.280 checking for libosmo-mgcp-client >= 1.13.0... yes #11 7.291 checking for egrep... (cached) /usr/bin/grep -E #11 7.291 checking if gcc supports -fvisibility=hidden... yes #11 7.309 checking whether to enable code coverage support... no #11 7.309 checking whether to enable VTY/CTRL tests... no #11 7.312 CFLAGS=" -std=gnu11" #11 7.312 CPPFLAGS="" #11 7.338 checking that generated files are newer than configure... done #11 7.338 configure: creating ./config.status #11 8.009 config.status: creating include/Makefile #11 8.033 config.status: creating include/osmocom/Makefile #11 8.054 config.status: creating include/osmocom/bsc/Makefile #11 8.076 config.status: creating src/Makefile #11 8.101 config.status: creating src/osmo-bsc/Makefile #11 8.127 config.status: creating src/ipaccess/Makefile #11 8.150 config.status: creating src/utils/Makefile #11 8.172 config.status: creating tests/Makefile #11 8.196 config.status: creating tests/atlocal #11 8.219 config.status: creating tests/abis/Makefile #11 8.240 config.status: creating tests/acc/Makefile #11 8.261 config.status: creating tests/bsc/Makefile #11 8.283 config.status: creating tests/codec_pref/Makefile #11 8.305 config.status: creating tests/gsm0408/Makefile #11 8.327 config.status: creating tests/handover/Makefile #11 8.351 config.status: creating tests/nanobts_omlattr/Makefile #11 8.375 config.status: creating tests/paging/Makefile #11 8.396 config.status: creating tests/subscr/Makefile #11 8.420 config.status: creating doc/Makefile #11 8.443 config.status: creating doc/examples/Makefile #11 8.465 config.status: creating doc/manuals/Makefile #11 8.488 config.status: creating contrib/Makefile #11 8.513 config.status: creating contrib/systemd/Makefile #11 8.536 config.status: creating Makefile #11 8.553 config.status: creating bscconfig.h #11 8.571 config.status: executing tests/atconfig commands #11 8.574 config.status: executing depfiles commands #11 9.189 config.status: executing libtool commands #11 9.262 echo 1.12.1.2-6bfb28b > .version-t && mv .version-t .version #11 9.265 make install-recursive #11 9.270 make[1]: Entering directory '/tmp/osmo-bsc' #11 9.275 Making install in include #11 9.277 make[2]: Entering directory '/tmp/osmo-bsc/include' #11 9.283 Making install in osmocom #11 9.286 make[3]: Entering directory '/tmp/osmo-bsc/include/osmocom' #11 9.291 Making install in bsc #11 9.295 make[4]: Entering directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.300 make[5]: Entering directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.300 make[5]: Nothing to be done for 'install-exec-am'. #11 9.300 make[5]: Nothing to be done for 'install-data-am'. #11 9.300 make[5]: Leaving directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.300 make[4]: Leaving directory '/tmp/osmo-bsc/include/osmocom/bsc' #11 9.303 make[4]: Entering directory '/tmp/osmo-bsc/include/osmocom' #11 9.307 make[5]: Entering directory '/tmp/osmo-bsc/include/osmocom' #11 9.307 make[5]: Nothing to be done for 'install-exec-am'. #11 9.307 make[5]: Nothing to be done for 'install-data-am'. #11 9.307 make[5]: Leaving directory '/tmp/osmo-bsc/include/osmocom' #11 9.307 make[4]: Leaving directory '/tmp/osmo-bsc/include/osmocom' #11 9.307 make[3]: Leaving directory '/tmp/osmo-bsc/include/osmocom' #11 9.310 make[3]: Entering directory '/tmp/osmo-bsc/include' #11 9.314 make[4]: Entering directory '/tmp/osmo-bsc/include' #11 9.314 make[4]: Nothing to be done for 'install-exec-am'. #11 9.314 make[4]: Nothing to be done for 'install-data-am'. #11 9.314 make[4]: Leaving directory '/tmp/osmo-bsc/include' #11 9.315 make[3]: Leaving directory '/tmp/osmo-bsc/include' #11 9.315 make[2]: Leaving directory '/tmp/osmo-bsc/include' #11 9.315 Making install in src #11 9.318 make[2]: Entering directory '/tmp/osmo-bsc/src' #11 9.323 Making install in osmo-bsc #11 9.330 make[3]: Entering directory '/tmp/osmo-bsc/src/osmo-bsc' #11 9.331 CC osmo_bsc_main.o #11 9.332 CC a_reset.lo #11 9.332 CC abis_nm_vty.lo #11 9.332 CC abis_nm.lo #11 9.332 CC abis_om2000.lo #11 9.333 CC abis_om2000_vty.lo #11 9.333 CC abis_osmo.lo #11 9.334 CC acc.lo #11 9.334 CC abis_rsl.lo #11 9.335 CC bsc_ctrl.lo #11 9.335 CC assignment_fsm.lo #11 9.336 CC bsc_ctrl_lookup.lo #11 9.336 CC bsc_rf_ctrl.lo #11 9.337 CC bsc_init.lo #11 9.337 CC bsc_sccp.lo #11 9.338 CC bsc_rll.lo #11 9.509 CC bsc_stats.lo #11 9.604 CC bsc_subscr_conn_fsm.lo #11 9.608 CC bsc_subscriber.lo #11 9.609 CC bsc_vty.lo #11 9.613 CC bts.lo #11 9.624 CC bts_trx.lo #11 9.632 CC bts_trx_ctrl.lo #11 9.636 CC bts_trx_ts_ctrl.lo #11 9.690 CC bts_trx_ts_lchan_ctrl.lo #11 9.691 CC bts_ericsson_rbs2000.lo #11 9.692 CC bts_init.lo #11 9.735 CC bts_ipaccess_nanobts.lo #11 9.780 CC bts_ipaccess_nanobts_omlattr.lo #11 9.904 CC bts_nokia_site.lo #11 9.918 CC bts_siemens_bs11.lo #11 9.926 CC bts_sm.lo #11 9.938 CC bts_osmobts.lo #11 9.942 CC bts_unknown.lo #11 9.978 CC bts_ctrl.lo #11 9.984 CC bts_setup_ramp.lo #11 9.984 CC bts_vty.lo #11 10.02 CC bts_trx_vty.lo #11 10.09 CC chan_alloc.lo #11 10.17 CC chan_counts.lo #11 10.19 CC codec_pref.lo #11 10.19 CC data_rate_pref.lo #11 10.20 CC e1_config.lo #11 10.22 CC gsm_04_08_rr.lo #11 10.22 CC gsm_data.lo #11 10.24 CC handover_cfg.lo #11 10.27 CC handover_ctrl.lo #11 10.33 CC handover_decision.lo #11 10.37 CC handover_decision_2.lo #11 10.39 CC handover_fsm.lo #11 10.45 CC handover_logic.lo #11 10.49 CC handover_vty.lo #11 10.49 CC vgcs_fsm.lo #11 10.50 CC lb.lo #11 10.50 CC lchan.lo #11 10.52 CC lchan_fsm.lo #11 10.56 CC lchan_rtp_fsm.lo #11 10.60 CC lchan_select.lo #11 10.62 CC lcs_loc_req.lo #11 10.63 CC lcs_ta_req.lo #11 10.73 lchan_rtp_fsm.c: In function 'mgcp_pick_codec': #11 10.73 lchan_rtp_fsm.c:925:17: warning: 'codecs_len' is deprecated: use ptmap[] and ptmap_len instead [-Wdeprecated-declarations] #11 10.73 925 | verb_info->codecs_len = 0; #11 10.73 | ^~~~~~~~~ #11 10.73 In file included from /usr/include/osmocom/mgcp_client/mgcp_client_endpoint_fsm.h:4, #11 10.73 from lchan_rtp_fsm.c:25: #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:35:22: note: declared here #11 10.73 35 | unsigned int codecs_len #11 10.73 | ^~~~~~~~~~ #11 10.73 lchan_rtp_fsm.c:929:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.73 929 | verb_info->codecs[0] = codec; #11 10.73 | ^~~~~~~~~ #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.73 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.73 | ^~~~~~ #11 10.73 lchan_rtp_fsm.c:930:9: warning: 'codecs_len' is deprecated: use ptmap[] and ptmap_len instead [-Wdeprecated-declarations] #11 10.73 930 | verb_info->codecs_len = 1; #11 10.73 | ^~~~~~~~~ #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:35:22: note: declared here #11 10.73 35 | unsigned int codecs_len #11 10.73 | ^~~~~~~~~~ #11 10.73 lchan_rtp_fsm.c:941:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.73 941 | if (verb_info->codecs[0] == CODEC_AMR_8000_1) { #11 10.73 | ^~ #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.73 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.73 | ^~~~~~ #11 10.73 lchan_rtp_fsm.c:946:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.73 946 | if (bss_side && verb_info->codecs[0] == CODEC_AMR_8000_1) { #11 10.73 | ^~ #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.73 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.73 | ^~~~~~ #11 10.73 lchan_rtp_fsm.c:954:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.73 954 | else if (!bss_side && verb_info->codecs[0] == CODEC_AMR_8000_1) { #11 10.73 | ^~~~ #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.73 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.73 | ^~~~~~ #11 10.73 lchan_rtp_fsm.c: In function 'mgcp_codec_is_picked': #11 10.73 lchan_rtp_fsm.c:961:9: warning: 'codecs' is deprecated: use ptmap[i].codec instead [-Wdeprecated-declarations] #11 10.73 961 | return verb_info->codecs[0] == codec; #11 10.73 | ^~~~~~ #11 10.73 /usr/include/osmocom/mgcp_client/mgcp_client_fsm.h:33:26: note: declared here #11 10.73 33 | enum mgcp_codecs codecs[MGCP_MAX_CODECS] #11 10.73 | ^~~~~~ #11 10.80 CC meas_feed.lo #11 10.81 CC meas_rep.lo #11 10.86 CC neighbor_ident.lo #11 10.89 CC neighbor_ident_vty.lo #11 10.91 CC neighbor_ident_ctrl.lo #11 10.91 CC net_init.lo #11 10.96 CC nm_common_fsm.lo #11 10.98 CC nm_bb_transc_fsm.lo #11 10.98 CC nm_bts_sm_fsm.lo #11 11.01 neighbor_ident.c: In function 'neighbor_controlif_setup': #11 11.01 neighbor_ident.c:493:9: warning: 'ctrl_interface_setup_dynip2' is deprecated: For internal use inside libosmocore only. [-Wdeprecated-declarations] #11 11.01 493 | return ctrl_interface_setup_dynip2(net, net->neigh_ctrl.addr, net->neigh_ctrl.port, #11 11.01 | ^~~~~~ #11 11.01 In file included from neighbor_ident.c:37: #11 11.01 /usr/include/osmocom/ctrl/control_if.h:46:21: note: declared here #11 11.01 46 | struct ctrl_handle *ctrl_interface_setup_dynip2(void *data, #11 11.01 | ^~~~~~~~~~~~~~~~~~~~~~~~~~~ #11 11.06 CC nm_bts_fsm.lo #11 11.10 CC nm_gprs_cell_fsm.lo #11 11.11 CC nm_gprs_nse_fsm.lo #11 11.13 CC nm_gprs_nsvc_fsm.lo #11 11.15 CC nm_channel_fsm.lo #11 11.16 CC nm_rcarrier_fsm.lo #11 11.16 CC gsm_08_08.lo #11 11.21 CC osmo_bsc_bssap.lo #11 11.24 CC osmo_bsc_filter.lo #11 11.25 CC osmo_bsc_grace.lo #11 11.27 CC osmo_bsc_lcls.lo #11 11.27 CC osmo_bsc_mgcp.lo #11 11.28 CC osmo_bsc_msc.lo #11 11.32 CC osmo_bsc_sigtran.lo #11 11.37 CC paging.lo #11 11.40 CC pcu_sock.lo #11 11.42 CC penalty_timers.lo #11 11.43 CC bssmap_reset.lo #11 11.45 CC system_information.lo #11 11.45 CC timeslot_fsm.lo #11 11.50 CC smscb.lo #11 11.50 CC smscb_vty.lo #11 11.54 CC cbch_scheduler.lo #11 11.56 CC cbsp_link.lo #11 11.57 CC power_control.lo #11 11.94 CCLD libbsc.la #11 13.14 CCLD osmo-bsc #11 13.79 make[4]: Entering directory '/tmp/osmo-bsc/src/osmo-bsc' #11 13.79 make[4]: Nothing to be done for 'install-data-am'. #11 13.79 /usr/bin/mkdir -p '/usr/local/bin' #11 13.80 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bsc '/usr/local/bin' #11 13.84 libtool: install: /usr/bin/install -c osmo-bsc /usr/local/bin/osmo-bsc #11 13.84 make[4]: Leaving directory '/tmp/osmo-bsc/src/osmo-bsc' #11 13.84 make[3]: Leaving directory '/tmp/osmo-bsc/src/osmo-bsc' #11 13.84 Making install in utils #11 13.85 make[3]: Entering directory '/tmp/osmo-bsc/src/utils' #11 13.85 CC bs11_config.o #11 13.85 CC meas_json-meas_json.o #11 13.85 CC isdnsync.o #11 13.91 CCLD isdnsync #11 13.93 CCLD meas_json #11 13.96 CCLD bs11_config #11 14.42 make[4]: Entering directory '/tmp/osmo-bsc/src/utils' #11 14.42 make[4]: Nothing to be done for 'install-data-am'. #11 14.43 /usr/bin/mkdir -p '/usr/local/bin' #11 14.43 /bin/bash ../../libtool --mode=install /usr/bin/install -c bs11_config isdnsync meas_json '/usr/local/bin' #11 14.48 libtool: install: /usr/bin/install -c bs11_config /usr/local/bin/bs11_config #11 14.49 libtool: install: /usr/bin/install -c isdnsync /usr/local/bin/isdnsync #11 14.50 libtool: install: /usr/bin/install -c meas_json /usr/local/bin/meas_json #11 14.51 make[4]: Leaving directory '/tmp/osmo-bsc/src/utils' #11 14.51 make[3]: Leaving directory '/tmp/osmo-bsc/src/utils' #11 14.51 Making install in ipaccess #11 14.51 make[3]: Entering directory '/tmp/osmo-bsc/src/ipaccess' #11 14.51 CC abisip-find.o #11 14.51 CC stubs.o #11 14.52 CC ipaccess-config.o #11 14.52 CC ipaccess-firmware.o #11 14.52 CC network_listen.o #11 14.52 CC ipaccess-proxy.o #11 14.60 CCLD abisip-find #11 14.65 CCLD ipaccess-config #11 14.65 CCLD ipaccess-proxy #11 15.11 make[4]: Entering directory '/tmp/osmo-bsc/src/ipaccess' #11 15.11 make[4]: Nothing to be done for 'install-data-am'. #11 15.12 /usr/bin/mkdir -p '/usr/local/bin' #11 15.12 /bin/bash ../../libtool --mode=install /usr/bin/install -c abisip-find ipaccess-config ipaccess-proxy '/usr/local/bin' #11 15.16 libtool: install: /usr/bin/install -c abisip-find /usr/local/bin/abisip-find #11 15.17 libtool: install: /usr/bin/install -c ipaccess-config /usr/local/bin/ipaccess-config #11 15.19 libtool: install: /usr/bin/install -c ipaccess-proxy /usr/local/bin/ipaccess-proxy #11 15.19 make[4]: Leaving directory '/tmp/osmo-bsc/src/ipaccess' #11 15.19 make[3]: Leaving directory '/tmp/osmo-bsc/src/ipaccess' #11 15.20 make[3]: Entering directory '/tmp/osmo-bsc/src' #11 15.20 make[4]: Entering directory '/tmp/osmo-bsc/src' #11 15.20 make[4]: Nothing to be done for 'install-exec-am'. #11 15.20 make[4]: Nothing to be done for 'install-data-am'. #11 15.20 make[4]: Leaving directory '/tmp/osmo-bsc/src' #11 15.20 make[3]: Leaving directory '/tmp/osmo-bsc/src' #11 15.20 make[2]: Leaving directory '/tmp/osmo-bsc/src' #11 15.20 Making install in tests #11 15.20 make[2]: Entering directory '/tmp/osmo-bsc/tests' #11 15.21 Making install in abis #11 15.21 make[3]: Entering directory '/tmp/osmo-bsc/tests/abis' #11 15.22 make[4]: Entering directory '/tmp/osmo-bsc/tests/abis' #11 15.22 make[4]: Nothing to be done for 'install-exec-am'. #11 15.22 make[4]: Nothing to be done for 'install-data-am'. #11 15.22 make[4]: Leaving directory '/tmp/osmo-bsc/tests/abis' #11 15.22 make[3]: Leaving directory '/tmp/osmo-bsc/tests/abis' #11 15.22 Making install in acc #11 15.22 make[3]: Entering directory '/tmp/osmo-bsc/tests/acc' #11 15.22 make[4]: Entering directory '/tmp/osmo-bsc/tests/acc' #11 15.22 make[4]: Nothing to be done for 'install-exec-am'. #11 15.22 make[4]: Nothing to be done for 'install-data-am'. #11 15.22 make[4]: Leaving directory '/tmp/osmo-bsc/tests/acc' #11 15.22 make[3]: Leaving directory '/tmp/osmo-bsc/tests/acc' #11 15.22 Making install in bsc #11 15.23 make[3]: Entering directory '/tmp/osmo-bsc/tests/bsc' #11 15.23 make[4]: Entering directory '/tmp/osmo-bsc/tests/bsc' #11 15.23 make[4]: Nothing to be done for 'install-exec-am'. #11 15.23 make[4]: Nothing to be done for 'install-data-am'. #11 15.23 make[4]: Leaving directory '/tmp/osmo-bsc/tests/bsc' #11 15.23 make[3]: Leaving directory '/tmp/osmo-bsc/tests/bsc' #11 15.23 Making install in codec_pref #11 15.24 make[3]: Entering directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.24 make[4]: Entering directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.24 make[4]: Nothing to be done for 'install-exec-am'. #11 15.24 make[4]: Nothing to be done for 'install-data-am'. #11 15.24 make[4]: Leaving directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.24 make[3]: Leaving directory '/tmp/osmo-bsc/tests/codec_pref' #11 15.24 Making install in gsm0408 #11 15.24 make[3]: Entering directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.24 make[4]: Entering directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.24 make[4]: Nothing to be done for 'install-exec-am'. #11 15.24 make[4]: Nothing to be done for 'install-data-am'. #11 15.24 make[4]: Leaving directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.24 make[3]: Leaving directory '/tmp/osmo-bsc/tests/gsm0408' #11 15.25 Making install in handover #11 15.25 make[3]: Entering directory '/tmp/osmo-bsc/tests/handover' #11 15.25 make[4]: Entering directory '/tmp/osmo-bsc/tests/handover' #11 15.25 make[4]: Nothing to be done for 'install-exec-am'. #11 15.25 make[4]: Nothing to be done for 'install-data-am'. #11 15.25 make[4]: Leaving directory '/tmp/osmo-bsc/tests/handover' #11 15.25 make[3]: Leaving directory '/tmp/osmo-bsc/tests/handover' #11 15.25 Making install in nanobts_omlattr #11 15.25 make[3]: Entering directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.26 make[4]: Entering directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.26 make[4]: Nothing to be done for 'install-exec-am'. #11 15.26 make[4]: Nothing to be done for 'install-data-am'. #11 15.26 make[4]: Leaving directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.26 make[3]: Leaving directory '/tmp/osmo-bsc/tests/nanobts_omlattr' #11 15.26 Making install in paging #11 15.26 make[3]: Entering directory '/tmp/osmo-bsc/tests/paging' #11 15.26 make[4]: Entering directory '/tmp/osmo-bsc/tests/paging' #11 15.26 make[4]: Nothing to be done for 'install-exec-am'. #11 15.26 make[4]: Nothing to be done for 'install-data-am'. #11 15.26 make[4]: Leaving directory '/tmp/osmo-bsc/tests/paging' #11 15.26 make[3]: Leaving directory '/tmp/osmo-bsc/tests/paging' #11 15.26 Making install in subscr #11 15.27 make[3]: Entering directory '/tmp/osmo-bsc/tests/subscr' #11 15.27 make[4]: Entering directory '/tmp/osmo-bsc/tests/subscr' #11 15.27 make[4]: Nothing to be done for 'install-exec-am'. #11 15.27 make[4]: Nothing to be done for 'install-data-am'. #11 15.27 make[4]: Leaving directory '/tmp/osmo-bsc/tests/subscr' #11 15.27 make[3]: Leaving directory '/tmp/osmo-bsc/tests/subscr' #11 15.27 make[3]: Entering directory '/tmp/osmo-bsc/tests' #11 15.28 make[4]: Entering directory '/tmp/osmo-bsc/tests' #11 15.28 make[4]: Nothing to be done for 'install-exec-am'. #11 15.28 make[4]: Nothing to be done for 'install-data-am'. #11 15.28 make[4]: Leaving directory '/tmp/osmo-bsc/tests' #11 15.28 make[3]: Leaving directory '/tmp/osmo-bsc/tests' #11 15.28 make[2]: Leaving directory '/tmp/osmo-bsc/tests' #11 15.28 Making install in doc #11 15.28 make[2]: Entering directory '/tmp/osmo-bsc/doc' #11 15.29 Making install in examples #11 15.29 make[3]: Entering directory '/tmp/osmo-bsc/doc/examples' #11 15.29 make[4]: Entering directory '/tmp/osmo-bsc/doc/examples' #11 15.29 make[4]: Nothing to be done for 'install-exec-am'. #11 15.30 /usr/bin/mkdir -p '/usr/local/etc/osmocom' #11 15.30 /usr/bin/install -c -m 644 osmo-bsc/osmo-bsc.cfg '/usr/local/etc/osmocom' #11 15.30 make install-data-hook #11 15.30 make[5]: Entering directory '/tmp/osmo-bsc/doc/examples' #11 15.30 for f in $(find . -name '*.cfg*' -o -name '*.confmerge*' | sed -e 's,^.,,'); do \ #11 15.30 j="/usr/local/share/doc/osmo-bsc/examples/$f" && \ #11 15.30 mkdir -p "$(dirname $j)" && \ #11 15.30 /usr/bin/install -c -m 644 ./$f $j; \ #11 15.30 done #11 15.37 make[5]: Leaving directory '/tmp/osmo-bsc/doc/examples' #11 15.37 make[4]: Leaving directory '/tmp/osmo-bsc/doc/examples' #11 15.37 make[3]: Leaving directory '/tmp/osmo-bsc/doc/examples' #11 15.37 Making install in manuals #11 15.38 make[3]: Entering directory '/tmp/osmo-bsc/doc/manuals' #11 15.38 make[4]: Entering directory '/tmp/osmo-bsc/doc/manuals' #11 15.38 make[4]: Nothing to be done for 'install-exec-am'. #11 15.38 make[4]: Nothing to be done for 'install-data-am'. #11 15.38 make[4]: Leaving directory '/tmp/osmo-bsc/doc/manuals' #11 15.38 make[3]: Leaving directory '/tmp/osmo-bsc/doc/manuals' #11 15.38 make[3]: Entering directory '/tmp/osmo-bsc/doc' #11 15.39 make[4]: Entering directory '/tmp/osmo-bsc/doc' #11 15.39 make[4]: Nothing to be done for 'install-exec-am'. #11 15.39 make[4]: Nothing to be done for 'install-data-am'. #11 15.39 make[4]: Leaving directory '/tmp/osmo-bsc/doc' #11 15.39 make[3]: Leaving directory '/tmp/osmo-bsc/doc' #11 15.39 make[2]: Leaving directory '/tmp/osmo-bsc/doc' #11 15.39 Making install in contrib #11 15.39 make[2]: Entering directory '/tmp/osmo-bsc/contrib' #11 15.40 Making install in systemd #11 15.40 make[3]: Entering directory '/tmp/osmo-bsc/contrib/systemd' #11 15.40 make[4]: Entering directory '/tmp/osmo-bsc/contrib/systemd' #11 15.40 make[4]: Nothing to be done for 'install-exec-am'. #11 15.40 /usr/bin/mkdir -p '/lib/systemd/system' #11 15.41 /usr/bin/install -c -m 644 osmo-bsc.service '/lib/systemd/system' #11 15.41 make[4]: Leaving directory '/tmp/osmo-bsc/contrib/systemd' #11 15.41 make[3]: Leaving directory '/tmp/osmo-bsc/contrib/systemd' #11 15.41 make[3]: Entering directory '/tmp/osmo-bsc/contrib' #11 15.42 make[4]: Entering directory '/tmp/osmo-bsc/contrib' #11 15.42 make[4]: Nothing to be done for 'install-exec-am'. #11 15.42 make[4]: Nothing to be done for 'install-data-am'. #11 15.42 make[4]: Leaving directory '/tmp/osmo-bsc/contrib' #11 15.42 make[3]: Leaving directory '/tmp/osmo-bsc/contrib' #11 15.42 make[2]: Leaving directory '/tmp/osmo-bsc/contrib' #11 15.42 make[2]: Entering directory '/tmp/osmo-bsc' #11 15.43 make[3]: Entering directory '/tmp/osmo-bsc' #11 15.43 make[3]: Nothing to be done for 'install-exec-am'. #11 15.43 make[3]: Nothing to be done for 'install-data-am'. #11 15.43 make[3]: Leaving directory '/tmp/osmo-bsc' #11 15.43 make[2]: Leaving directory '/tmp/osmo-bsc' #11 15.43 make[1]: Leaving directory '/tmp/osmo-bsc' #11 DONE 15.7s #12 [7/8] COPY OSMO-BSC.CFG /data/osmo-bsc.cfg #12 DONE 0.3s #13 [8/8] WORKDIR /DATA #13 DONE 0.2s #14 exporting to image #14 exporting layers #14 exporting layers 0.4s done #14 writing image sha256:77406df41bad6f1f5ca91cf00c246d89bff1c1586d327ae58abbaf5097d14458 0.0s done #14 naming to docker.io/osmocom-build/osmo-bsc-master:latest 0.0s done #14 DONE 0.5s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/osmo-bsc-master' + docker_image_exists osmo-bsc-master + docker images -q osmocom-build/osmo-bsc-master + test -n 77406df41bad + list_osmo_packages debian-bookworm osmo-bsc-master + local distro=debian-bookworm + local image=osmo-bsc-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bsc-master -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bsc-master ### ii libosmo-abis-dev:amd64 1.6.0.202409092026 amd64 Development headers for A-bis interface ii libosmo-mgcp-client-dev:amd64 1.13.0.3.227a.202409092026 amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-mgcp-client14:amd64 1.13.0.3.227a.202409092026 amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-netif-dev:amd64 1.5.1.2.84500.202409092026 amd64 Development headers for Osmocom network interface ii libosmo-sigtran-dev:amd64 1.9.0.3.fc4c.202409092026 amd64 Development headers for the Osmocom SIGTRAN library ii libosmo-sigtran10:amd64 1.9.0.3.fc4c.202409092026 amd64 Osmocom SIGTRAN library (SCCP, SUA, M3UA and more) ii libosmoabis13:amd64 1.6.0.202409092026 amd64 GSM A-bis handling ii libosmocodec4:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo codec library ii libosmocoding0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo coding library ii libosmocore 1.10.0.12.6e1e.202409092026 amd64 Open Source MObile COMmunications CORE library (metapackage) ii libosmocore-dev:amd64 1.10.0.12.6e1e.202409092026 amd64 Development headers for Open Source MObile COMmunications CORE library ii libosmocore22:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo Core library ii libosmoctrl0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo control library ii libosmogb14:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo GPRS GB library ii libosmogsm20:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.5.1.2.84500.202409092026 amd64 Common/shared code regarding network interface for OpenBSC ii libosmosim2:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo SIM library ii libosmotrau10:amd64 1.6.0.202409092026 amd64 GSM trau handling ii libosmousb0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo USB library ii libosmovty13:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo VTY library ii osmocom-nightly 202409092026 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bts-master + local feed + echo debian-bookworm-build + depends=debian-bookworm-build + [ -n debian-bookworm-build ] + docker_images_require debian-bookworm-build + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-build + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-build + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-build + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-build + echo debian-bookworm-build + dir=debian-bookworm-build + pull_arg=--pull + grep ^FROM ../debian-bookworm-build/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-build (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-build BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-build OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/debian-bookworm-build' rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/common .common docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ --pull -t osmocom-build/debian-bookworm-build:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 5.76kB done #1 DONE 0.0s #2 [auth] sharing credentials for registry.osmocom.org #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/debian:bookworm #3 DONE 0.0s #4 [internal] load .dockerignore #4 transferring context: 2B done #4 DONE 0.0s #5 [internal] load build context #5 DONE 0.0s #6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12//Release #6 DONE 0.0s #7 https://gitea.osmocom.org/sim-card/pysim/raw/branch/master/requirements.txt #7 DONE 0.0s #8 https://gerrit.osmocom.org/plugins/gitiles/osmo-ci/+/master?format=TEXT #8 DONE 0.2s #9 [ 1/16] FROM registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf #9 resolve registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf #9 resolve registry.osmocom.org/debian:bookworm@sha256:3521cd844df5a6f3fd71217af1fc8222db5a7138a753eef86a0550d153184cdf 0.2s done #9 DONE 0.2s #10 https://gerrit.osmocom.org/plugins/gitiles/osmo-gsm-manuals/+/master?format=TEXT #10 DONE 0.2s #11 https://gerrit.osmocom.org/plugins/gitiles/python/osmo-python-tests/+/master?format=TEXT #11 DONE 0.2s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.0s #12 [ 3/16] COPY .COMMON/RELEASE.KEY /etc/apt/trusted.gpg.d/obs.osmocom.org.asc #12 CACHED #13 [11/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-CI/+/MASTER?FORMAT=TEXT /tmp/osmo-ci-commit #13 CACHED #14 [ 2/16] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #14 CACHED #15 [ 9/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/PYTHON/OSMO-PYTHON-TESTS/+/MASTER?FORMAT=TEXT /tmp/osmo-python-tests-commit #15 CACHED #16 [10/16] RUN SET -x && git clone --depth=1 https://gerrit.osmocom.org/python/osmo-python-tests osmo-python-tests && cd osmo-python-tests && python3 setup.py clean build install && cd .. && rm -rf osmo-python-tests #16 CACHED #17 [ 8/16] RUN SET -x && cat /tmp/pysim_requirements.txt && pip3 install --break-system-packages 'git+https://github.com/eriwen/lcov-to-cobertura-xml.git' 'git+https://github.com/osmocom/sphinx-argparse@inside-classes#egg=sphinx-argparse' 'git+https://github.com/podshumok/python-smpplib.git' 'pydbus' 'pysispm' 'sphinx' 'sphinxcontrib-napoleon' -r /tmp/pysim_requirements.txt #17 CACHED #18 [ 5/16] RUN IF [ "$(arch)" != "x86_64" ]; then echo "ERROR: use debian-bookworm-build-arm instead"; exit 1; fi && set -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates libtinfo5 wget && apt-get clean && wget https://github.com/ARM-software/LLVM-embedded-toolchain-for-Arm/releases/download/release-14.0.0/LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && tar -xf LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && rm LLVMEmbeddedToolchainForArm-14.0.0-linux.tar.gz && mv LLVMEmbeddedToolchainForArm-14.0.0 /opt/llvm-arm && /opt/llvm-arm/bin/clang --version && /opt/llvm-arm/bin/clang --print-targets #18 CACHED #19 [15/16] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY/DEBIAN_12//RELEASE /tmp/Release #19 CACHED #20 [ 4/16] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #20 CACHED #21 [12/16] RUN set -x && git clone --depth=1 https://gerrit.osmocom.org/osmo-ci osmo-ci && su build -c "cd osmo-ci/scripts && cp -v *.sh *.py /usr/local/bin" && rm -rf osmo-ci #21 CACHED #22 [14/16] RUN GIT -C /opt clone --depth=1 https://gerrit.osmocom.org/osmo-gsm-manuals #22 CACHED #23 [13/16] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-GSM-MANUALS/+/MASTER?FORMAT=TEXT /tmp/osmo-gsm-manuals-commit #23 CACHED #24 [ 7/16] ADD HTTPS://GITEA.OSMOCOM.ORG/SIM-CARD/PYSIM/RAW/BRANCH/MASTER/REQUIREMENTS.TXT /tmp/pysim_requirements.txt #24 CACHED #25 [ 6/16] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends asciidoc asciidoc-dblatex autoconf autoconf-archive autogen automake bc bison build-essential bzip2 ca-certificates ccache cmake coccinelle cppcheck curl dahdi-source dblatex dbus debhelper devscripts dh-autoreconf docbook5-xml doxygen equivs flex g++ gawk gcc gcc-arm-none-eabi ghostscript git gnupg graphviz htop iproute2 latexmk lcov libaio-dev libasound2-dev libbladerf-dev libboost-all-dev libc-ares-dev libcdk5-dev libcsv-dev libdbd-sqlite3 libdbi-dev libelf-dev libffi-dev libfftw3-dev libgmp-dev libgnutls28-dev libgps-dev libgsm1-dev libjansson-dev liblua5.3-dev libmnl-dev libncurses5-dev libnewlib-arm-none-eabi libnftables-dev libnftnl-dev libnl-3-dev libnl-route-3-dev liboping-dev libortp-dev libpcap-dev libpcsclite-dev libreadline-dev librsvg2-bin libsctp-dev libsigsegv-dev libsnmp-dev libsofia-sip-ua-glib-dev libsqlite3-dev libssl-dev libtalloc-dev libtinfo5 libtool liburing-dev libusb-1.0-0-dev libusb-dev libxml2-utils libzmq3-dev locales lua-socket make mscgen ofono openssh-client patchelf picolibc-arm-none-eabi pkg-config pylint python3 python3-gi python3-mako python3-nwdiag python3-pip python3-pyflakes python3-setuptools python3-usb python3-yaml rsync sdcc source-highlight sqlite3 stow sudo swig systemd tcpdump telnet tex-gyre texinfo unzip virtualenv xsltproc && apt-get clean #25 CACHED #26 [16/16] RUN SET -x && echo "deb [signed-by=/etc/apt/trusted.gpg.d/obs.osmocom.org.asc] https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly.list && apt-get update && apt-get install -y --no-install-recommends liblimesuite-dev libuhd-dev libulfius-dev && apt-get clean #26 CACHED #27 exporting to image #27 exporting layers done #27 writing image sha256:36a77bae47c0dd264ccb13adcc64bd0957f8681a7b7e6514f6b63a481868ee1a done #27 naming to docker.io/osmocom-build/debian-bookworm-build:latest done #27 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/debian-bookworm-build' + docker_image_exists debian-bookworm-build + docker images -q osmocom-build/debian-bookworm-build + test -n 36a77bae47c0 + list_osmo_packages debian-bookworm debian-bookworm-build + local distro=debian-bookworm + local image=debian-bookworm-build + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-build -c + [ -n ] + return + docker_distro_from_image_name osmo-bts-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bts-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bts-master + echo osmo-bts-master + dir=osmo-bts-master + pull_arg=--pull + grep ^FROM ../osmo-bts-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmo-bts-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bts-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bts-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/osmo-bts-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/osmo-bts-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.49kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [internal] load build context #4 DONE 0.0s #5 [1/8] FROM docker.io/osmocom-build/debian-bookworm-build:latest #5 CACHED #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libsmpp34-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmoabis)" "pkgconfig(libosmocodec)" "pkgconfig(libosmocoding)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmotrau)" "pkgconfig(libosmovty)" ;; esac #6 ... #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bts/+/master?format=TEXT #7 DONE 0.2s #7 https://gerrit.osmocom.org/plugins/gitiles/osmo-bts/+/master?format=TEXT #7 CACHED #4 [internal] load build context #4 transferring context: 1.63kB done #4 DONE 0.0s #6 [2/8] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends libosmocore-dev libosmo-abis-dev libosmo-netif-dev libsmpp34-dev libgtp-dev && apt-get clean ;; centos*) dnf install -y "pkgconfig(libosmoabis)" "pkgconfig(libosmocodec)" "pkgconfig(libosmocoding)" "pkgconfig(libosmocore)" "pkgconfig(libosmoctrl)" "pkgconfig(libosmogb)" "pkgconfig(libosmogsm)" "pkgconfig(libosmo-netif)" "pkgconfig(libosmotrau)" "pkgconfig(libosmovty)" ;; esac #6 0.438 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.438 Get:2 http://deb.debian.org/debian bookworm-updates InRelease [55.4 kB] #6 0.439 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #6 0.561 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #6 0.761 Fetched 55.4 kB in 0s (153 kB/s) #6 0.761 Reading package lists... #6 1.139 Reading package lists... #6 1.505 Building dependency tree... #6 1.594 Reading state information... #6 1.679 The following additional packages will be installed: #6 1.679 libgtp10 libosmoabis13 libosmocodec4 libosmocoding0 libosmocore #6 1.679 libosmocore22 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 #6 1.679 libosmonetif11 libosmosim2 libosmotrau10 libosmousb0 libosmovty13 libsmpp1 #6 1.679 osmocom-nightly #6 1.709 The following NEW packages will be installed: #6 1.709 libgtp-dev libgtp10 libosmo-abis-dev libosmo-netif-dev libosmoabis13 #6 1.709 libosmocodec4 libosmocoding0 libosmocore libosmocore-dev libosmocore22 #6 1.709 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 libosmonetif11 #6 1.709 libosmosim2 libosmotrau10 libosmousb0 libosmovty13 libsmpp1 libsmpp34-dev #6 1.709 osmocom-nightly #6 1.768 0 upgraded, 22 newly installed, 0 to remove and 0 not upgraded. #6 1.768 Need to get 2656 kB of archives. #6 1.768 After this operation, 10.3 MB of additional disk space will be used. #6 1.768 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202409092026 [1180 B] #6 1.780 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.12.6e1e.202409092026 [168 kB] #6 1.785 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp10 1.12.0.6.8c01.202409092026 [39.0 kB] #6 1.787 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libgtp-dev 1.12.0.6.8c01.202409092026 [141 kB] #6 1.789 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.12.6e1e.202409092026 [50.5 kB] #6 1.790 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmotrau10 1.6.0.202409092026 [30.5 kB] #6 1.792 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.12.6e1e.202409092026 [69.7 kB] #6 1.794 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.12.6e1e.202409092026 [227 kB] #6 1.797 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.12.6e1e.202409092026 [103 kB] #6 1.800 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoabis13 1.6.0.202409092026 [73.3 kB] #6 1.801 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-abis-dev 1.6.0.202409092026 [113 kB] #6 1.804 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.12.6e1e.202409092026 [70.4 kB] #6 1.806 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.12.6e1e.202409092026 [177 kB] #6 1.808 Get:14 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.12.6e1e.202409092026 [58.8 kB] #6 1.810 Get:15 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.12.6e1e.202409092026 [62.9 kB] #6 1.811 Get:16 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.12.6e1e.202409092026 [49.6 kB] #6 1.813 Get:17 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.12.6e1e.202409092026 [42.9 kB] #6 1.814 Get:18 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.12.6e1e.202409092026 [846 kB] #6 1.822 Get:19 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmonetif11 1.5.1.2.84500.202409092026 [53.7 kB] #6 1.822 Get:20 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmo-netif-dev 1.5.1.2.84500.202409092026 [65.9 kB] #6 1.823 Get:21 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libsmpp1 1.14.4.1.f1ec.202409092026 [101 kB] #6 1.824 Get:22 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libsmpp34-dev 1.14.4.1.f1ec.202409092026 [113 kB] #6 1.973 debconf: delaying package configuration, since apt-utils is not installed #6 2.028 Fetched 2656 kB in 0s (23.9 MB/s) #6 2.087 Selecting previously unselected package osmocom-nightly. #6 2.087 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117405 files and directories currently installed.) #6 2.136 Preparing to unpack .../00-osmocom-nightly_202409092026_amd64.deb ... #6 2.151 Unpacking osmocom-nightly (202409092026) ... #6 2.268 Selecting previously unselected package libosmocore22:amd64. #6 2.279 Preparing to unpack .../01-libosmocore22_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 2.309 Unpacking libosmocore22:amd64 (1.10.0.12.6e1e.202409092026) ... #6 2.438 Selecting previously unselected package libgtp10:amd64. #6 2.448 Preparing to unpack .../02-libgtp10_1.12.0.6.8c01.202409092026_amd64.deb ... #6 2.464 Unpacking libgtp10:amd64 (1.12.0.6.8c01.202409092026) ... #6 2.570 Selecting previously unselected package libgtp-dev:amd64. #6 2.580 Preparing to unpack .../03-libgtp-dev_1.12.0.6.8c01.202409092026_amd64.deb ... #6 2.595 Unpacking libgtp-dev:amd64 (1.12.0.6.8c01.202409092026) ... #6 2.766 Selecting previously unselected package libosmocodec4:amd64. #6 2.776 Preparing to unpack .../04-libosmocodec4_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 2.791 Unpacking libosmocodec4:amd64 (1.10.0.12.6e1e.202409092026) ... #6 2.914 Selecting previously unselected package libosmotrau10:amd64. #6 2.924 Preparing to unpack .../05-libosmotrau10_1.6.0.202409092026_amd64.deb ... #6 2.939 Unpacking libosmotrau10:amd64 (1.6.0.202409092026) ... #6 3.051 Selecting previously unselected package libosmoisdn0:amd64. #6 3.062 Preparing to unpack .../06-libosmoisdn0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 3.077 Unpacking libosmoisdn0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 3.200 Selecting previously unselected package libosmogsm20:amd64. #6 3.211 Preparing to unpack .../07-libosmogsm20_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 3.226 Unpacking libosmogsm20:amd64 (1.10.0.12.6e1e.202409092026) ... #6 3.377 Selecting previously unselected package libosmovty13:amd64. #6 3.386 Preparing to unpack .../08-libosmovty13_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 3.407 Unpacking libosmovty13:amd64 (1.10.0.12.6e1e.202409092026) ... #6 3.531 Selecting previously unselected package libosmoabis13:amd64. #6 3.540 Preparing to unpack .../09-libosmoabis13_1.6.0.202409092026_amd64.deb ... #6 3.554 Unpacking libosmoabis13:amd64 (1.6.0.202409092026) ... #6 3.663 Selecting previously unselected package libosmo-abis-dev:amd64. #6 3.673 Preparing to unpack .../10-libosmo-abis-dev_1.6.0.202409092026_amd64.deb ... #6 3.688 Unpacking libosmo-abis-dev:amd64 (1.6.0.202409092026) ... #6 3.807 Selecting previously unselected package libosmocoding0:amd64. #6 3.818 Preparing to unpack .../11-libosmocoding0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 3.834 Unpacking libosmocoding0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 3.993 Selecting previously unselected package libosmogb14:amd64. #6 4.003 Preparing to unpack .../12-libosmogb14_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.028 Unpacking libosmogb14:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.167 Selecting previously unselected package libosmoctrl0:amd64. #6 4.178 Preparing to unpack .../13-libosmoctrl0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.193 Unpacking libosmoctrl0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.315 Selecting previously unselected package libosmosim2:amd64. #6 4.325 Preparing to unpack .../14-libosmosim2_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.341 Unpacking libosmosim2:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.465 Selecting previously unselected package libosmousb0:amd64. #6 4.475 Preparing to unpack .../15-libosmousb0_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.493 Unpacking libosmousb0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.593 Selecting previously unselected package libosmocore. #6 4.602 Preparing to unpack .../16-libosmocore_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.617 Unpacking libosmocore (1.10.0.12.6e1e.202409092026) ... #6 4.725 Selecting previously unselected package libosmocore-dev:amd64. #6 4.736 Preparing to unpack .../17-libosmocore-dev_1.10.0.12.6e1e.202409092026_amd64.deb ... #6 4.751 Unpacking libosmocore-dev:amd64 (1.10.0.12.6e1e.202409092026) ... #6 4.930 Selecting previously unselected package libosmonetif11:amd64. #6 4.941 Preparing to unpack .../18-libosmonetif11_1.5.1.2.84500.202409092026_amd64.deb ... #6 4.957 Unpacking libosmonetif11:amd64 (1.5.1.2.84500.202409092026) ... #6 5.062 Selecting previously unselected package libosmo-netif-dev:amd64. #6 5.072 Preparing to unpack .../19-libosmo-netif-dev_1.5.1.2.84500.202409092026_amd64.deb ... #6 5.088 Unpacking libosmo-netif-dev:amd64 (1.5.1.2.84500.202409092026) ... #6 5.214 Selecting previously unselected package libsmpp1:amd64. #6 5.225 Preparing to unpack .../20-libsmpp1_1.14.4.1.f1ec.202409092026_amd64.deb ... #6 5.240 Unpacking libsmpp1:amd64 (1.14.4.1.f1ec.202409092026) ... #6 5.351 Selecting previously unselected package libsmpp34-dev:amd64. #6 5.362 Preparing to unpack .../21-libsmpp34-dev_1.14.4.1.f1ec.202409092026_amd64.deb ... #6 5.377 Unpacking libsmpp34-dev:amd64 (1.14.4.1.f1ec.202409092026) ... #6 5.529 Setting up osmocom-nightly (202409092026) ... #6 5.598 Setting up libsmpp1:amd64 (1.14.4.1.f1ec.202409092026) ... #6 5.648 Setting up libosmocore22:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.715 Setting up libosmocodec4:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.760 Setting up libsmpp34-dev:amd64 (1.14.4.1.f1ec.202409092026) ... #6 5.804 Setting up libosmovty13:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.850 Setting up libosmoisdn0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 5.897 Setting up libosmotrau10:amd64 (1.6.0.202409092026) ... #6 5.943 Setting up libgtp10:amd64 (1.12.0.6.8c01.202409092026) ... #6 5.990 Setting up libgtp-dev:amd64 (1.12.0.6.8c01.202409092026) ... #6 6.034 Setting up libosmousb0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.081 Setting up libosmogsm20:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.126 Setting up libosmoabis13:amd64 (1.6.0.202409092026) ... #6 6.173 Setting up libosmoctrl0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.217 Setting up libosmogb14:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.263 Setting up libosmonetif11:amd64 (1.5.1.2.84500.202409092026) ... #6 6.313 Setting up libosmo-abis-dev:amd64 (1.6.0.202409092026) ... #6 6.359 Setting up libosmocoding0:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.405 Setting up libosmosim2:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.453 Setting up libosmocore (1.10.0.12.6e1e.202409092026) ... #6 6.499 Setting up libosmocore-dev:amd64 (1.10.0.12.6e1e.202409092026) ... #6 6.544 Setting up libosmo-netif-dev:amd64 (1.5.1.2.84500.202409092026) ... #6 6.593 Processing triggers for libc-bin (2.36-9+deb12u8) ... #6 DONE 6.9s #8 [3/8] WORKDIR /TMP #8 DONE 0.2s #9 [4/8] RUN GIT clone https://gerrit.osmocom.org/osmo-bts.git #9 0.351 Cloning into 'osmo-bts'... #9 DONE 1.1s #10 [5/8] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-BTS/+/MASTER?FORMAT=TEXT /tmp/commit-osmo-bts #10 DONE 0.2s #11 [6/8] RUN CD osmo-bts && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD && autoreconf -fi && ./configure --enable-trx && make "-j$(nproc)" install #11 0.449 Already on 'master' #11 0.449 Your branch is up to date with 'origin/master'. #11 0.451 refs/heads/master #11 0.458 HEAD is now at 9512355e sysmo: generate empty TCH/H payload on FACCH/H Rx #11 0.461 master #11 0.462 9512355ebc7f4a4c710b03bc2482a86248a0163c #11 3.124 libtoolize: putting auxiliary files in AC_CONFIG_AUX_DIR, '.'. #11 3.124 libtoolize: copying file './ltmain.sh' #11 3.392 libtoolize: Consider adding 'AC_CONFIG_MACRO_DIRS([m4])' to configure.ac, #11 3.392 libtoolize: and rerunning libtoolize and aclocal. #11 3.392 libtoolize: Consider adding '-I m4' to ACLOCAL_AMFLAGS in Makefile.am. #11 4.528 configure.ac:40: warning: The macro `AC_HEADER_STDC' is obsolete. #11 4.528 configure.ac:40: You should run autoupdate. #11 4.528 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 4.528 configure.ac:40: the top level #11 4.528 configure.ac:84: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:84: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:84: the top level #11 4.528 configure.ac:92: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:92: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:92: the top level #11 4.528 configure.ac:124: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:124: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:124: the top level #11 4.528 configure.ac:132: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:132: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:132: the top level #11 4.528 configure.ac:272: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:272: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:272: the top level #11 4.528 configure.ac:292: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:292: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:292: the top level #11 4.528 configure.ac:354: warning: The macro `AC_HELP_STRING' is obsolete. #11 4.528 configure.ac:354: You should run autoupdate. #11 4.528 ./lib/autoconf/general.m4:204: AC_HELP_STRING is expanded from... #11 4.528 configure.ac:354: the top level #11 4.529 configure.ac:422: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #11 4.529 configure.ac:422: You should use the 'AC_CONFIG_HEADERS' macro instead. #11 4.529 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #11 4.529 aclocal.m4:10177: AM_CONFIG_HEADER is expanded from... #11 4.529 configure.ac:422: the top level #11 4.529 configure.ac:424: warning: AC_OUTPUT should be used without arguments. #11 4.529 configure.ac:424: You should run autoupdate. #11 5.304 configure.ac:23: installing './compile' #11 5.307 configure.ac:25: installing './config.guess' #11 5.311 configure.ac:25: installing './config.sub' #11 5.314 configure.ac:9: installing './install-sh' #11 5.316 configure.ac:9: installing './missing' #11 5.359 contrib/ber/Makefile.am: installing './depcomp' #11 5.475 src/common/Makefile.am:86: warning: variable 'libbts_la_LDADD' is defined but no program or #11 5.475 src/common/Makefile.am:86: library has 'libbts_la' as canonical name (possible typo) #11 5.565 src/osmo-bts-sysmo/Makefile.am:44: warning: source file 'misc/sysmobts_par.c' is in a subdirectory, #11 5.565 src/osmo-bts-sysmo/Makefile.am:44: but option 'subdir-objects' is disabled #11 5.565 automake: warning: possible forward-incompatibility. #11 5.565 automake: At least one source file is in a subdirectory, but the 'subdir-objects' #11 5.565 automake: automake option hasn't been enabled. For now, the corresponding output #11 5.565 automake: object file(s) will be placed in the top-level directory. However, this #11 5.565 automake: behavior may change in a future Automake major version, with object #11 5.565 automake: files being placed in the same subdirectory as the corresponding sources. #11 5.565 automake: You are advised to start using 'subdir-objects' option throughout your #11 5.565 automake: project, to avoid future incompatibilities. #11 5.567 src/osmo-bts-sysmo/Makefile.am:73: warning: source file 'misc/sysmobts-calib.c' is in a subdirectory, #11 5.567 src/osmo-bts-sysmo/Makefile.am:73: but option 'subdir-objects' is disabled #11 5.567 src/osmo-bts-sysmo/Makefile.am:73: warning: source file 'misc/sysmobts-layer1.c' is in a subdirectory, #11 5.567 src/osmo-bts-sysmo/Makefile.am:73: but option 'subdir-objects' is disabled #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr.c' is in a subdirectory, #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_misc.c' is in a subdirectory, #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_par.c' is in a subdirectory, #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_nl.c' is in a subdirectory, #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_2050.c' is in a subdirectory, #11 5.568 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_vty.c' is in a subdirectory, #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_nl.c' is in a subdirectory, #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_temp.c' is in a subdirectory, #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: warning: source file 'misc/sysmobts_mgr_calib.c' is in a subdirectory, #11 5.569 src/osmo-bts-sysmo/Makefile.am:77: but option 'subdir-objects' is disabled #11 5.570 src/osmo-bts-sysmo/Makefile.am:92: warning: source file 'misc/sysmobts_util.c' is in a subdirectory, #11 5.570 src/osmo-bts-sysmo/Makefile.am:92: but option 'subdir-objects' is disabled #11 5.570 src/osmo-bts-sysmo/Makefile.am:92: warning: source file 'misc/sysmobts_par.c' is in a subdirectory, #11 5.570 src/osmo-bts-sysmo/Makefile.am:92: but option 'subdir-objects' is disabled #11 5.654 tests/agch/Makefile.am:26: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.654 tests/agch/Makefile.am:26: but option 'subdir-objects' is disabled #11 5.693 tests/cipher/Makefile.am:26: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.693 tests/cipher/Makefile.am:26: but option 'subdir-objects' is disabled #11 5.733 tests/handover/Makefile.am:24: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.733 tests/handover/Makefile.am:24: but option 'subdir-objects' is disabled #11 5.754 tests/meas/Makefile.am:25: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.754 tests/meas/Makefile.am:25: but option 'subdir-objects' is disabled #11 5.774 tests/misc/Makefile.am:24: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.774 tests/misc/Makefile.am:24: but option 'subdir-objects' is disabled #11 5.795 tests/paging/Makefile.am:26: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.795 tests/paging/Makefile.am:26: but option 'subdir-objects' is disabled #11 5.815 tests/power/Makefile.am:28: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.815 tests/power/Makefile.am:28: but option 'subdir-objects' is disabled #11 5.816 tests/power/Makefile.am:25: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.816 tests/power/Makefile.am:25: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/utils.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/l1_if.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/oml.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/l1_transp_hw.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/tch.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/calib_file.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.836 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/calib_fixup.c' is in a subdirectory, #11 5.836 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.837 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/misc/sysmobts_par.c' is in a subdirectory, #11 5.837 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.837 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/femtobts.c' is in a subdirectory, #11 5.837 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.837 tests/sysmobts/Makefile.am:31: warning: source file '$(top_srcdir)/src/osmo-bts-sysmo/eeprom.c' is in a subdirectory, #11 5.837 tests/sysmobts/Makefile.am:31: but option 'subdir-objects' is disabled #11 5.884 tests/tx_power/Makefile.am:23: warning: source file '$(srcdir)/../stubs.c' is in a subdirectory, #11 5.884 tests/tx_power/Makefile.am:23: but option 'subdir-objects' is disabled #11 6.026 checking for a BSD-compatible install... /usr/bin/install -c #11 6.035 checking whether build environment is sane... yes #11 6.050 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 6.053 checking for gawk... gawk #11 6.053 checking whether make sets $(MAKE)... yes #11 6.068 checking whether make supports nested variables... yes #11 6.078 checking whether make supports nested variables... (cached) yes #11 6.078 checking whether make sets $(MAKE)... (cached) yes #11 6.081 checking for gcc... gcc #11 6.112 checking whether the C compiler works... yes #11 6.161 checking for C compiler default output file name... a.out #11 6.162 checking for suffix of executables... #11 6.203 checking whether we are cross compiling... no #11 6.245 checking for suffix of object files... o #11 6.268 checking whether the compiler supports GNU C... yes #11 6.287 checking whether gcc accepts -g... yes #11 6.305 checking for gcc option to enable C11 features... none needed #11 6.335 checking whether gcc understands -c and -o together... yes #11 6.371 checking whether make supports the include directive... yes (GNU style) #11 6.381 checking dependency style of gcc... gcc3 #11 6.422 checking build system type... x86_64-pc-linux-gnu #11 6.493 checking host system type... x86_64-pc-linux-gnu #11 6.494 checking how to print strings... printf #11 6.520 checking for a sed that does not truncate output... /usr/bin/sed #11 6.526 checking for grep that handles long lines and -e... /usr/bin/grep #11 6.529 checking for egrep... /usr/bin/grep -E #11 6.531 checking for fgrep... /usr/bin/grep -F #11 6.533 checking for ld used by gcc... /usr/bin/ld #11 6.540 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 6.543 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 6.547 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 6.575 checking whether ln -s works... yes #11 6.575 checking the maximum length of command line arguments... 1572864 #11 6.585 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 6.585 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 6.585 checking for /usr/bin/ld option to reload object files... -r #11 6.586 checking for file... file #11 6.586 checking for objdump... objdump #11 6.587 checking how to recognize dependent libraries... pass_all #11 6.588 checking for dlltool... no #11 6.588 checking how to associate runtime and link libraries... printf %s\n #11 6.589 checking for ar... ar #11 6.589 checking for archiver @FILE support... @ #11 6.620 checking for strip... strip #11 6.620 checking for ranlib... ranlib #11 6.621 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 6.693 checking for sysroot... no #11 6.693 checking for a working dd... /usr/bin/dd #11 6.699 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 6.720 checking for mt... no #11 6.721 checking if : is a manifest tool... no #11 6.727 checking for stdio.h... yes #11 6.746 checking for stdlib.h... yes #11 6.767 checking for string.h... yes #11 6.790 checking for inttypes.h... yes #11 6.815 checking for stdint.h... yes #11 6.836 checking for strings.h... yes #11 6.858 checking for sys/stat.h... yes #11 6.879 checking for sys/types.h... yes #11 6.901 checking for unistd.h... yes #11 6.929 checking for dlfcn.h... yes #11 6.953 checking for objdir... .libs #11 7.023 checking if gcc supports -fno-rtti -fno-exceptions... no #11 7.051 checking for gcc option to produce PIC... -fPIC -DPIC #11 7.051 checking if gcc PIC flag -fPIC -DPIC works... yes #11 7.076 checking if gcc static flag -static works... yes #11 7.134 checking if gcc supports -c -o file.o... yes #11 7.169 checking if gcc supports -c -o file.o... (cached) yes #11 7.169 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 7.184 checking whether -lc should be explicitly linked in... no #11 7.217 checking dynamic linker characteristics... GNU/Linux ld.so #11 7.289 checking how to hardcode library paths into programs... immediate #11 7.289 checking whether stripping libraries is possible... yes #11 7.291 checking if libtool supports shared libraries... yes #11 7.291 checking whether to build shared libraries... yes #11 7.291 checking whether to build static libraries... yes #11 7.292 checking for pkg-config... /usr/bin/pkg-config #11 7.292 checking for pkg-config... /usr/bin/pkg-config #11 7.292 checking pkg-config is at least version 0.20... yes #11 7.294 checking for egrep... (cached) /usr/bin/grep -E #11 7.294 checking for libosmocore >= 1.10.0... yes #11 7.304 checking for libosmovty >= 1.10.0... yes #11 7.318 checking for libosmogsm >= 1.10.0... yes #11 7.328 checking for libosmoctrl >= 1.10.0... yes #11 7.340 checking for libosmocodec >= 1.10.0... yes #11 7.352 checking for libosmocoding >= 1.10.0... yes #11 7.366 checking for libosmoabis >= 1.6.0... yes #11 7.374 checking for libosmotrau >= 1.6.0... yes #11 7.384 checking for libosmo-netif >= 1.5.0... yes #11 7.392 checking whether to enable support for sysmobts calibration tool... no #11 7.392 checking whether to enable support for sysmoBTS L1/PHY support... no #11 7.393 checking whether to enable support for osmo-trx based L1/PHY support... yes #11 7.393 checking whether to enable support for Octasic OCTPHY-2G... no #11 7.394 checking whether to enable NuRAN Wireless Litecell 1.5 hardware support... no #11 7.395 checking whether to enable NuRAN Wireless OC-2G hardware support... no #11 7.396 checking whether to enable VTY/CTRL tests... no #11 7.396 checking whether to include systemtap tracing support... no #11 7.399 CFLAGS=" -std=gnu11" #11 7.399 CPPFLAGS="" #11 7.428 checking that generated files are newer than configure... done #11 7.429 configure: creating ./config.status #11 8.082 config.status: creating src/Makefile #11 8.105 config.status: creating src/common/Makefile #11 8.128 config.status: creating src/osmo-bts-virtual/Makefile #11 8.153 config.status: creating src/osmo-bts-omldummy/Makefile #11 8.173 config.status: creating src/osmo-bts-sysmo/Makefile #11 8.197 config.status: creating src/osmo-bts-lc15/Makefile #11 8.220 config.status: creating src/osmo-bts-oc2g/Makefile #11 8.242 config.status: creating src/osmo-bts-trx/Makefile #11 8.265 config.status: creating src/osmo-bts-octphy/Makefile #11 8.287 config.status: creating include/Makefile #11 8.310 config.status: creating include/osmo-bts/Makefile #11 8.332 config.status: creating tests/Makefile #11 8.355 config.status: creating tests/paging/Makefile #11 8.378 config.status: creating tests/agch/Makefile #11 8.401 config.status: creating tests/cipher/Makefile #11 8.424 config.status: creating tests/sysmobts/Makefile #11 8.447 config.status: creating tests/misc/Makefile #11 8.469 config.status: creating tests/handover/Makefile #11 8.492 config.status: creating tests/ta_control/Makefile #11 8.517 config.status: creating tests/tx_power/Makefile #11 8.538 config.status: creating tests/power/Makefile #11 8.559 config.status: creating tests/meas/Makefile #11 8.580 config.status: creating tests/amr/Makefile #11 8.602 config.status: creating tests/csd/Makefile #11 8.625 config.status: creating doc/Makefile #11 8.649 config.status: creating doc/examples/Makefile #11 8.669 config.status: creating doc/manuals/Makefile #11 8.693 config.status: creating contrib/Makefile #11 8.717 config.status: creating contrib/ber/Makefile #11 8.740 config.status: creating contrib/systemd/Makefile #11 8.764 config.status: creating Makefile #11 8.781 config.status: creating btsconfig.h #11 8.799 config.status: executing tests/atconfig commands #11 8.803 config.status: executing depfiles commands #11 9.774 config.status: executing libtool commands #11 9.832 echo 1.8.0.1-9512 > .version-t && mv .version-t .version #11 9.836 make install-recursive #11 9.841 make[1]: Entering directory '/tmp/osmo-bts' #11 9.846 Making install in include #11 9.848 make[2]: Entering directory '/tmp/osmo-bts/include' #11 9.854 Making install in osmo-bts #11 9.857 make[3]: Entering directory '/tmp/osmo-bts/include/osmo-bts' #11 9.859 make[4]: Entering directory '/tmp/osmo-bts/include/osmo-bts' #11 9.859 make[4]: Nothing to be done for 'install-exec-am'. #11 9.859 make[4]: Nothing to be done for 'install-data-am'. #11 9.859 make[4]: Leaving directory '/tmp/osmo-bts/include/osmo-bts' #11 9.859 make[3]: Leaving directory '/tmp/osmo-bts/include/osmo-bts' #11 9.862 make[3]: Entering directory '/tmp/osmo-bts/include' #11 9.865 make[4]: Entering directory '/tmp/osmo-bts/include' #11 9.865 make[4]: Nothing to be done for 'install-exec-am'. #11 9.865 make[4]: Nothing to be done for 'install-data-am'. #11 9.865 make[4]: Leaving directory '/tmp/osmo-bts/include' #11 9.866 make[3]: Leaving directory '/tmp/osmo-bts/include' #11 9.866 make[2]: Leaving directory '/tmp/osmo-bts/include' #11 9.866 Making install in src #11 9.868 make[2]: Entering directory '/tmp/osmo-bts/src' #11 9.873 Making install in common #11 9.877 make[3]: Entering directory '/tmp/osmo-bts/src/common' #11 9.877 make install-am #11 9.883 make[4]: Entering directory '/tmp/osmo-bts/src/common' #11 9.885 CC gsm_data.o #11 9.885 CC sysinfo.o #11 9.886 CC logging.o #11 9.886 CC abis.o #11 9.886 CC osmux.o #11 9.886 CC abis_osmo.o #11 9.887 CC oml.o #11 9.887 CC bts_trx.o #11 9.887 CC bts.o #11 9.887 CC bts_sm.o #11 9.889 CC rsl.o #11 9.889 CC rtp_input_preen.o #11 9.890 CC vty.o #11 9.890 CC amr.o #11 9.890 CC measurement.o #11 9.890 CC paging.o #11 9.973 CC asci.o #11 9.975 CC lchan.o #11 9.983 CC load_indication.o #11 9.983 CC pcu_sock.o #11 9.987 CC handover.o #11 9.987 CC msg_utils.o #11 9.988 CC tx_power.o #11 10.00 CC bts_ctrl_commands.o #11 10.01 CC bts_ctrl_lookup.o #11 10.03 CC bts_shutdown_fsm.o #11 10.03 CC csd_v110.o #11 10.04 CC l1sap.o #11 10.04 CC cbch.o #11 10.07 CC power_control.o #11 10.08 CC main.o #11 10.09 CC phy_link.o #11 10.10 CC dtx_dl_amr_fsm.o #11 10.10 CC scheduler_mframe.o #11 10.10 CC ta_control.o #11 10.10 CC nm_common_fsm.o #11 10.11 CC nm_bts_sm_fsm.o #11 10.12 CC nm_bts_fsm.o #11 10.15 CC nm_bb_transc_fsm.o #11 10.15 CC nm_channel_fsm.o #11 10.15 CC nm_gprs_cell_fsm.o #11 10.16 CC nm_gprs_nse_fsm.o #11 10.17 CC nm_gprs_nsvc_fsm.o #11 10.17 CC nm_radio_carrier_fsm.o #11 10.19 CC notification.o #11 10.19 CC scheduler.o #11 10.31 AR libbts.a #11 10.31 ar: `u' modifier ignored since `D' is the default (see `U') #11 10.32 AR libl1sched.a #11 10.33 ar: `u' modifier ignored since `D' is the default (see `U') #11 10.36 make[5]: Entering directory '/tmp/osmo-bts/src/common' #11 10.36 make[5]: Nothing to be done for 'install-exec-am'. #11 10.36 make[5]: Nothing to be done for 'install-data-am'. #11 10.36 make[5]: Leaving directory '/tmp/osmo-bts/src/common' #11 10.36 make[4]: Leaving directory '/tmp/osmo-bts/src/common' #11 10.36 make[3]: Leaving directory '/tmp/osmo-bts/src/common' #11 10.36 Making install in osmo-bts-virtual #11 10.37 make[3]: Entering directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 10.37 CC main.o #11 10.37 CC bts_model.o #11 10.37 CC virtualbts_vty.o #11 10.37 CC scheduler_virtbts.o #11 10.37 CC l1_if.o #11 10.37 CC virtual_um.o #11 10.37 CC osmo_mcast_sock.o #11 10.48 CCLD osmo-bts-virtual #11 11.13 make[4]: Entering directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 11.13 make[4]: Nothing to be done for 'install-data-am'. #11 11.13 /usr/bin/mkdir -p '/usr/local/bin' #11 11.13 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bts-virtual '/usr/local/bin' #11 11.18 libtool: install: /usr/bin/install -c osmo-bts-virtual /usr/local/bin/osmo-bts-virtual #11 11.18 make[4]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 11.18 make[3]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-virtual' #11 11.18 Making install in osmo-bts-omldummy #11 11.18 make[3]: Entering directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 11.19 CC main.o #11 11.19 CC bts_model.o #11 11.27 CCLD osmo-bts-omldummy #11 11.91 make[4]: Entering directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 11.91 make[4]: Nothing to be done for 'install-data-am'. #11 11.91 /usr/bin/mkdir -p '/usr/local/bin' #11 11.92 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bts-omldummy '/usr/local/bin' #11 11.96 libtool: install: /usr/bin/install -c osmo-bts-omldummy /usr/local/bin/osmo-bts-omldummy #11 11.96 make[4]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 11.96 make[3]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-omldummy' #11 11.96 Making install in osmo-bts-trx #11 11.97 make[3]: Entering directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 11.97 make install-am #11 11.97 make[4]: Entering directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 11.97 CC main.o #11 11.97 CC trx_if.o #11 11.97 CC l1_if.o #11 11.97 CC scheduler_trx.o #11 11.97 CC sched_lchan_fcch_sch.o #11 11.97 CC sched_lchan_rach.o #11 11.97 CC sched_lchan_xcch.o #11 11.98 CC sched_lchan_tchf.o #11 11.98 CC sched_lchan_pdtch.o #11 11.98 CC sched_lchan_tchh.o #11 11.98 CC trx_provision_fsm.o #11 11.98 CC trx_vty.o #11 11.98 CC amr_loop.o #11 12.15 CCLD osmo-bts-trx #11 12.90 make[5]: Entering directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 12.90 make[5]: Nothing to be done for 'install-data-am'. #11 12.90 /usr/bin/mkdir -p '/usr/local/bin' #11 12.90 /bin/bash ../../libtool --mode=install /usr/bin/install -c osmo-bts-trx '/usr/local/bin' #11 12.94 libtool: install: /usr/bin/install -c osmo-bts-trx /usr/local/bin/osmo-bts-trx #11 12.95 make[5]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 12.95 make[4]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 12.95 make[3]: Leaving directory '/tmp/osmo-bts/src/osmo-bts-trx' #11 12.95 make[3]: Entering directory '/tmp/osmo-bts/src' #11 12.95 make[4]: Entering directory '/tmp/osmo-bts/src' #11 12.95 make[4]: Nothing to be done for 'install-exec-am'. #11 12.95 make[4]: Nothing to be done for 'install-data-am'. #11 12.95 make[4]: Leaving directory '/tmp/osmo-bts/src' #11 12.95 make[3]: Leaving directory '/tmp/osmo-bts/src' #11 12.96 make[2]: Leaving directory '/tmp/osmo-bts/src' #11 12.96 Making install in tests #11 12.96 make[2]: Entering directory '/tmp/osmo-bts/tests' #11 12.96 Making install in paging #11 12.97 make[3]: Entering directory '/tmp/osmo-bts/tests/paging' #11 12.97 make[4]: Entering directory '/tmp/osmo-bts/tests/paging' #11 12.97 make[4]: Nothing to be done for 'install-exec-am'. #11 12.97 make[4]: Nothing to be done for 'install-data-am'. #11 12.97 make[4]: Leaving directory '/tmp/osmo-bts/tests/paging' #11 12.97 make[3]: Leaving directory '/tmp/osmo-bts/tests/paging' #11 12.97 Making install in cipher #11 12.97 make[3]: Entering directory '/tmp/osmo-bts/tests/cipher' #11 12.97 make[4]: Entering directory '/tmp/osmo-bts/tests/cipher' #11 12.97 make[4]: Nothing to be done for 'install-exec-am'. #11 12.97 make[4]: Nothing to be done for 'install-data-am'. #11 12.97 make[4]: Leaving directory '/tmp/osmo-bts/tests/cipher' #11 12.98 make[3]: Leaving directory '/tmp/osmo-bts/tests/cipher' #11 12.98 Making install in agch #11 12.98 make[3]: Entering directory '/tmp/osmo-bts/tests/agch' #11 12.98 make[4]: Entering directory '/tmp/osmo-bts/tests/agch' #11 12.98 make[4]: Nothing to be done for 'install-exec-am'. #11 12.98 make[4]: Nothing to be done for 'install-data-am'. #11 12.98 make[4]: Leaving directory '/tmp/osmo-bts/tests/agch' #11 12.98 make[3]: Leaving directory '/tmp/osmo-bts/tests/agch' #11 12.98 Making install in misc #11 12.98 make[3]: Entering directory '/tmp/osmo-bts/tests/misc' #11 12.99 make[4]: Entering directory '/tmp/osmo-bts/tests/misc' #11 12.99 make[4]: Nothing to be done for 'install-exec-am'. #11 12.99 make[4]: Nothing to be done for 'install-data-am'. #11 12.99 make[4]: Leaving directory '/tmp/osmo-bts/tests/misc' #11 12.99 make[3]: Leaving directory '/tmp/osmo-bts/tests/misc' #11 12.99 Making install in handover #11 12.99 make[3]: Entering directory '/tmp/osmo-bts/tests/handover' #11 12.99 make[4]: Entering directory '/tmp/osmo-bts/tests/handover' #11 12.99 make[4]: Nothing to be done for 'install-exec-am'. #11 12.99 make[4]: Nothing to be done for 'install-data-am'. #11 12.99 make[4]: Leaving directory '/tmp/osmo-bts/tests/handover' #11 12.99 make[3]: Leaving directory '/tmp/osmo-bts/tests/handover' #11 12.99 Making install in tx_power #11 12.99 make[3]: Entering directory '/tmp/osmo-bts/tests/tx_power' #11 13.00 make[4]: Entering directory '/tmp/osmo-bts/tests/tx_power' #11 13.00 make[4]: Nothing to be done for 'install-exec-am'. #11 13.00 make[4]: Nothing to be done for 'install-data-am'. #11 13.00 make[4]: Leaving directory '/tmp/osmo-bts/tests/tx_power' #11 13.00 make[3]: Leaving directory '/tmp/osmo-bts/tests/tx_power' #11 13.00 Making install in power #11 13.00 make[3]: Entering directory '/tmp/osmo-bts/tests/power' #11 13.00 make[4]: Entering directory '/tmp/osmo-bts/tests/power' #11 13.00 make[4]: Nothing to be done for 'install-exec-am'. #11 13.00 make[4]: Nothing to be done for 'install-data-am'. #11 13.00 make[4]: Leaving directory '/tmp/osmo-bts/tests/power' #11 13.00 make[3]: Leaving directory '/tmp/osmo-bts/tests/power' #11 13.00 Making install in meas #11 13.01 make[3]: Entering directory '/tmp/osmo-bts/tests/meas' #11 13.01 make[4]: Entering directory '/tmp/osmo-bts/tests/meas' #11 13.01 make[4]: Nothing to be done for 'install-exec-am'. #11 13.01 make[4]: Nothing to be done for 'install-data-am'. #11 13.01 make[4]: Leaving directory '/tmp/osmo-bts/tests/meas' #11 13.01 make[3]: Leaving directory '/tmp/osmo-bts/tests/meas' #11 13.01 Making install in ta_control #11 13.01 make[3]: Entering directory '/tmp/osmo-bts/tests/ta_control' #11 13.02 make[4]: Entering directory '/tmp/osmo-bts/tests/ta_control' #11 13.02 make[4]: Nothing to be done for 'install-exec-am'. #11 13.02 make[4]: Nothing to be done for 'install-data-am'. #11 13.02 make[4]: Leaving directory '/tmp/osmo-bts/tests/ta_control' #11 13.02 make[3]: Leaving directory '/tmp/osmo-bts/tests/ta_control' #11 13.02 Making install in amr #11 13.02 make[3]: Entering directory '/tmp/osmo-bts/tests/amr' #11 13.02 make[4]: Entering directory '/tmp/osmo-bts/tests/amr' #11 13.02 make[4]: Nothing to be done for 'install-exec-am'. #11 13.02 make[4]: Nothing to be done for 'install-data-am'. #11 13.02 make[4]: Leaving directory '/tmp/osmo-bts/tests/amr' #11 13.02 make[3]: Leaving directory '/tmp/osmo-bts/tests/amr' #11 13.02 Making install in csd #11 13.02 make[3]: Entering directory '/tmp/osmo-bts/tests/csd' #11 13.03 make[4]: Entering directory '/tmp/osmo-bts/tests/csd' #11 13.03 make[4]: Nothing to be done for 'install-exec-am'. #11 13.03 make[4]: Nothing to be done for 'install-data-am'. #11 13.03 make[4]: Leaving directory '/tmp/osmo-bts/tests/csd' #11 13.03 make[3]: Leaving directory '/tmp/osmo-bts/tests/csd' #11 13.03 make[3]: Entering directory '/tmp/osmo-bts/tests' #11 13.03 make[4]: Entering directory '/tmp/osmo-bts/tests' #11 13.03 make[4]: Nothing to be done for 'install-exec-am'. #11 13.03 make[4]: Nothing to be done for 'install-data-am'. #11 13.03 make[4]: Leaving directory '/tmp/osmo-bts/tests' #11 13.03 make[3]: Leaving directory '/tmp/osmo-bts/tests' #11 13.03 make[2]: Leaving directory '/tmp/osmo-bts/tests' #11 13.03 Making install in doc #11 13.03 make[2]: Entering directory '/tmp/osmo-bts/doc' #11 13.04 Making install in examples #11 13.04 make[3]: Entering directory '/tmp/osmo-bts/doc/examples' #11 13.04 make[4]: Entering directory '/tmp/osmo-bts/doc/examples' #11 13.04 make[4]: Nothing to be done for 'install-exec-am'. #11 13.05 /usr/bin/mkdir -p '/usr/local/share/doc/osmo-bts/examples/osmo-bts-trx' #11 13.05 /usr/bin/mkdir -p '/usr/local/share/doc/osmo-bts/examples/osmo-bts-virtual' #11 13.05 /usr/bin/mkdir -p '/usr/local/etc/osmocom' #11 13.05 /usr/bin/install -c -m 644 virtual/osmo-bts-virtual.cfg trx/osmo-bts-trx.cfg '/usr/local/etc/osmocom' #11 13.05 /usr/bin/install -c -m 644 trx/osmo-bts-trx.cfg trx/osmo-bts-trx-calypso.cfg '/usr/local/share/doc/osmo-bts/examples/osmo-bts-trx' #11 13.05 /usr/bin/install -c -m 644 virtual/osmo-bts-virtual.cfg '/usr/local/share/doc/osmo-bts/examples/osmo-bts-virtual' #11 13.05 make[4]: Leaving directory '/tmp/osmo-bts/doc/examples' #11 13.05 make[3]: Leaving directory '/tmp/osmo-bts/doc/examples' #11 13.05 Making install in manuals #11 13.06 make[3]: Entering directory '/tmp/osmo-bts/doc/manuals' #11 13.06 make[4]: Entering directory '/tmp/osmo-bts/doc/manuals' #11 13.06 make[4]: Nothing to be done for 'install-exec-am'. #11 13.06 make[4]: Nothing to be done for 'install-data-am'. #11 13.06 make[4]: Leaving directory '/tmp/osmo-bts/doc/manuals' #11 13.06 make[3]: Leaving directory '/tmp/osmo-bts/doc/manuals' #11 13.06 make[3]: Entering directory '/tmp/osmo-bts/doc' #11 13.07 make[4]: Entering directory '/tmp/osmo-bts/doc' #11 13.07 make[4]: Nothing to be done for 'install-exec-am'. #11 13.07 make[4]: Nothing to be done for 'install-data-am'. #11 13.07 make[4]: Leaving directory '/tmp/osmo-bts/doc' #11 13.07 make[3]: Leaving directory '/tmp/osmo-bts/doc' #11 13.07 make[2]: Leaving directory '/tmp/osmo-bts/doc' #11 13.07 Making install in contrib #11 13.07 make[2]: Entering directory '/tmp/osmo-bts/contrib' #11 13.08 Making install in systemd #11 13.08 make[3]: Entering directory '/tmp/osmo-bts/contrib/systemd' #11 13.08 make[4]: Entering directory '/tmp/osmo-bts/contrib/systemd' #11 13.08 make[4]: Nothing to be done for 'install-exec-am'. #11 13.08 /usr/bin/mkdir -p '/lib/systemd/system' #11 13.09 /usr/bin/install -c -m 644 osmo-bts-virtual.service osmo-bts-trx.service '/lib/systemd/system' #11 13.09 make[4]: Leaving directory '/tmp/osmo-bts/contrib/systemd' #11 13.09 make[3]: Leaving directory '/tmp/osmo-bts/contrib/systemd' #11 13.09 Making install in ber #11 13.09 make[3]: Entering directory '/tmp/osmo-bts/contrib/ber' #11 13.09 CC rtp_gen_map.o #11 13.09 CC rtp_ber.o #11 13.15 CCLD rtp_gen_map #11 13.15 CCLD rtp_ber #11 13.40 make[4]: Entering directory '/tmp/osmo-bts/contrib/ber' #11 13.40 make[4]: Nothing to be done for 'install-exec-am'. #11 13.40 make[4]: Nothing to be done for 'install-data-am'. #11 13.40 make[4]: Leaving directory '/tmp/osmo-bts/contrib/ber' #11 13.40 make[3]: Leaving directory '/tmp/osmo-bts/contrib/ber' #11 13.40 make[3]: Entering directory '/tmp/osmo-bts/contrib' #11 13.41 make[4]: Entering directory '/tmp/osmo-bts/contrib' #11 13.41 make[4]: Nothing to be done for 'install-exec-am'. #11 13.41 make[4]: Nothing to be done for 'install-data-am'. #11 13.41 make[4]: Leaving directory '/tmp/osmo-bts/contrib' #11 13.41 make[3]: Leaving directory '/tmp/osmo-bts/contrib' #11 13.41 make[2]: Leaving directory '/tmp/osmo-bts/contrib' #11 13.41 make[2]: Entering directory '/tmp/osmo-bts' #11 13.42 make[3]: Entering directory '/tmp/osmo-bts' #11 13.42 make[3]: Nothing to be done for 'install-exec-am'. #11 13.42 make[3]: Nothing to be done for 'install-data-am'. #11 13.42 make[3]: Leaving directory '/tmp/osmo-bts' #11 13.42 make[2]: Leaving directory '/tmp/osmo-bts' #11 13.42 make[1]: Leaving directory '/tmp/osmo-bts' #11 DONE 13.6s #12 [7/8] COPY OSMO-BTS.CFG /data/osmo-bts.cfg #12 DONE 0.2s #13 [8/8] WORKDIR /DATA #13 DONE 0.1s #14 exporting to image #14 exporting layers #14 exporting layers 0.4s done #14 writing image sha256:36d460c8dc438a0c115a06f2dbbe3bfc347b425b10b01065c54e171797b8ae95 0.0s done #14 naming to docker.io/osmocom-build/osmo-bts-master:latest 0.0s done #14 DONE 0.5s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/osmo-bts-master' + docker_image_exists osmo-bts-master + docker images -q osmocom-build/osmo-bts-master + test -n 36d460c8dc43 + list_osmo_packages debian-bookworm osmo-bts-master + local distro=debian-bookworm + local image=osmo-bts-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bts-master -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bts-master ### ii libosmo-abis-dev:amd64 1.6.0.202409092026 amd64 Development headers for A-bis interface ii libosmo-netif-dev:amd64 1.5.1.2.84500.202409092026 amd64 Development headers for Osmocom network interface ii libosmoabis13:amd64 1.6.0.202409092026 amd64 GSM A-bis handling ii libosmocodec4:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo codec library ii libosmocoding0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo coding library ii libosmocore 1.10.0.12.6e1e.202409092026 amd64 Open Source MObile COMmunications CORE library (metapackage) ii libosmocore-dev:amd64 1.10.0.12.6e1e.202409092026 amd64 Development headers for Open Source MObile COMmunications CORE library ii libosmocore22:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo Core library ii libosmoctrl0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo control library ii libosmogb14:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo GPRS GB library ii libosmogsm20:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.5.1.2.84500.202409092026 amd64 Common/shared code regarding network interface for OpenBSC ii libosmosim2:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo SIM library ii libosmotrau10:amd64 1.6.0.202409092026 amd64 GSM trau handling ii libosmousb0:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo USB library ii libosmovty13:amd64 1.10.0.12.6e1e.202409092026 amd64 Osmo VTY library ii osmocom-nightly 202409092026 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmocom-bb-host-master + local feed + depends= + [ -n ] + docker_distro_from_image_name osmocom-bb-host-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmocom-bb-host-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmocom-bb-host-master + echo osmocom-bb-host-master + dir=osmocom-bb-host-master + pull_arg=--pull + grep ^FROM ../osmocom-bb-host-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmocom-bb-host-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmocom-bb-host-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmocom-bb-host-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/osmocom-bb-host-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/osmocom-bb-host-master:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.00kB done #1 DONE 0.0s #2 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [ 1/10] FROM docker.io/osmocom-build/debian-bookworm-build:latest #4 CACHED #5 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #5 ... #6 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #6 DONE 0.2s #6 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #6 CACHED #5 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #5 0.420 Hit:1 http://deb.debian.org/debian bookworm InRelease #5 0.420 Get:2 http://deb.debian.org/debian bookworm-updates InRelease [55.4 kB] #5 0.421 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #5 0.515 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #5 0.737 Fetched 55.4 kB in 0s (154 kB/s) #5 0.737 Reading package lists... #5 1.114 Reading package lists... #5 1.478 Building dependency tree... #5 1.568 Reading state information... #5 1.651 The following additional packages will be installed: #5 1.651 libosmocodec4 libosmocoding0 libosmocore libosmocore22 libosmoctrl0 #5 1.651 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 libosmovty13 #5 1.651 osmocom-nightly #5 1.679 The following NEW packages will be installed: #5 1.679 libosmocodec4 libosmocoding0 libosmocore libosmocore-dev libosmocore22 #5 1.679 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 #5 1.679 libosmovty13 osmocom-nightly #5 1.721 0 upgraded, 13 newly installed, 0 to remove and 0 not upgraded. #5 1.721 Need to get 1926 kB of archives. #5 1.721 After this operation, 6835 kB of additional disk space will be used. #5 1.721 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202409092026 [1180 B] #5 1.725 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore22 1.10.0.12.6e1e.202409092026 [168 kB] #5 1.727 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.10.0.12.6e1e.202409092026 [50.5 kB] #5 1.729 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.10.0.12.6e1e.202409092026 [69.7 kB] #5 1.731 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.10.0.12.6e1e.202409092026 [227 kB] #5 1.735 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.10.0.12.6e1e.202409092026 [70.4 kB] #5 1.737 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.10.0.12.6e1e.202409092026 [103 kB] #5 1.739 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.10.0.12.6e1e.202409092026 [177 kB] #5 1.742 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.10.0.12.6e1e.202409092026 [58.8 kB] #5 1.744 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.10.0.12.6e1e.202409092026 [62.9 kB] #5 1.746 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.10.0.12.6e1e.202409092026 [49.6 kB] #5 1.747 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.10.0.12.6e1e.202409092026 [42.9 kB] #5 1.749 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.10.0.12.6e1e.202409092026 [846 kB] #5 1.914 debconf: delaying package configuration, since apt-utils is not installed #5 1.961 Fetched 1926 kB in 0s (25.7 MB/s) #5 2.011 Selecting previously unselected package osmocom-nightly. #5 2.011 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117405 files and directories currently installed.) #5 2.060 Preparing to unpack .../00-osmocom-nightly_202409092026_amd64.deb ... #5 2.075 Unpacking osmocom-nightly (202409092026) ... #5 2.187 Selecting previously unselected package libosmocore22:amd64. #5 2.197 Preparing to unpack .../01-libosmocore22_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 2.228 Unpacking libosmocore22:amd64 (1.10.0.12.6e1e.202409092026) ... #5 2.366 Selecting previously unselected package libosmocodec4:amd64. #5 2.376 Preparing to unpack .../02-libosmocodec4_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 2.390 Unpacking libosmocodec4:amd64 (1.10.0.12.6e1e.202409092026) ... #5 2.517 Selecting previously unselected package libosmoisdn0:amd64. #5 2.527 Preparing to unpack .../03-libosmoisdn0_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 2.542 Unpacking libosmoisdn0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 2.664 Selecting previously unselected package libosmogsm20:amd64. #5 2.675 Preparing to unpack .../04-libosmogsm20_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 2.691 Unpacking libosmogsm20:amd64 (1.10.0.12.6e1e.202409092026) ... #5 2.823 Selecting previously unselected package libosmocoding0:amd64. #5 2.832 Preparing to unpack .../05-libosmocoding0_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 2.848 Unpacking libosmocoding0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 2.969 Selecting previously unselected package libosmovty13:amd64. #5 2.979 Preparing to unpack .../06-libosmovty13_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 2.994 Unpacking libosmovty13:amd64 (1.10.0.12.6e1e.202409092026) ... #5 3.117 Selecting previously unselected package libosmogb14:amd64. #5 3.128 Preparing to unpack .../07-libosmogb14_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 3.143 Unpacking libosmogb14:amd64 (1.10.0.12.6e1e.202409092026) ... #5 3.274 Selecting previously unselected package libosmoctrl0:amd64. #5 3.284 Preparing to unpack .../08-libosmoctrl0_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 3.299 Unpacking libosmoctrl0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 3.425 Selecting previously unselected package libosmosim2:amd64. #5 3.436 Preparing to unpack .../09-libosmosim2_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 3.451 Unpacking libosmosim2:amd64 (1.10.0.12.6e1e.202409092026) ... #5 3.570 Selecting previously unselected package libosmousb0:amd64. #5 3.581 Preparing to unpack .../10-libosmousb0_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 3.597 Unpacking libosmousb0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 3.699 Selecting previously unselected package libosmocore. #5 3.709 Preparing to unpack .../11-libosmocore_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 3.724 Unpacking libosmocore (1.10.0.12.6e1e.202409092026) ... #5 3.833 Selecting previously unselected package libosmocore-dev:amd64. #5 3.844 Preparing to unpack .../12-libosmocore-dev_1.10.0.12.6e1e.202409092026_amd64.deb ... #5 3.858 Unpacking libosmocore-dev:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.057 Setting up osmocom-nightly (202409092026) ... #5 4.104 Setting up libosmocore22:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.149 Setting up libosmocodec4:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.194 Setting up libosmovty13:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.239 Setting up libosmoisdn0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.285 Setting up libosmousb0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.332 Setting up libosmogsm20:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.376 Setting up libosmoctrl0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.423 Setting up libosmogb14:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.469 Setting up libosmocoding0:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.516 Setting up libosmosim2:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.562 Setting up libosmocore (1.10.0.12.6e1e.202409092026) ... #5 4.611 Setting up libosmocore-dev:amd64 (1.10.0.12.6e1e.202409092026) ... #5 4.660 Processing triggers for libc-bin (2.36-9+deb12u8) ... #5 DONE 4.9s #7 [ 3/10] WORKDIR /TMP #7 DONE 0.2s #8 [ 4/10] RUN GIT clone https://gerrit.osmocom.org/osmocom-bb.git #8 0.368 Cloning into 'osmocom-bb'... #8 DONE 1.1s #9 [ 5/10] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMOCOM-BB/+/MASTER?FORMAT=TEXT /tmp/commit-osmocom-bb #9 DONE 0.2s #10 [ 6/10] RUN CD osmocom-bb && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD #10 0.495 Already on 'master' #10 0.495 Your branch is up to date with 'origin/master'. #10 0.498 refs/heads/master #10 0.508 HEAD is now at 04ea6f9c mobile: fix -Wmaybe-uninitialized in gsm48_rr_tx_meas_rep() #10 0.510 master #10 0.512 04ea6f9cab3d9d5120c77f88b500bad526564c0a #10 DONE 0.6s #11 [ 7/10] RUN CD osmocom-bb/src/host/trxcon && autoreconf -fi && ./configure && make "-j$(nproc)" install #11 3.628 libtoolize: putting auxiliary files in '.'. #11 3.628 libtoolize: copying file './ltmain.sh' #11 3.653 libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'. #11 3.653 libtoolize: copying file 'm4/libtool.m4' #11 3.683 libtoolize: copying file 'm4/ltoptions.m4' #11 3.715 libtoolize: copying file 'm4/ltsugar.m4' #11 3.743 libtoolize: copying file 'm4/ltversion.m4' #11 3.773 libtoolize: copying file 'm4/lt~obsolete.m4' #11 5.819 configure.ac:21: warning: The macro `AC_HEADER_STDC' is obsolete. #11 5.819 configure.ac:21: You should run autoupdate. #11 5.819 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 5.819 configure.ac:21: the top level #11 6.382 configure.ac:12: installing './compile' #11 6.386 configure.ac:24: installing './config.guess' #11 6.389 configure.ac:24: installing './config.sub' #11 6.392 configure.ac:3: installing './install-sh' #11 6.395 configure.ac:3: installing './missing' #11 6.478 src/Makefile.am: installing './depcomp' #11 6.598 checking for a BSD-compatible install... /usr/bin/install -c #11 6.609 checking whether build environment is sane... yes #11 6.623 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 6.626 checking for gawk... gawk #11 6.627 checking whether make sets $(MAKE)... yes #11 6.642 checking whether make supports nested variables... yes #11 6.653 checking whether make supports nested variables... (cached) yes #11 6.653 checking whether make sets $(MAKE)... (cached) yes #11 6.656 checking for gcc... gcc #11 6.683 checking whether the C compiler works... yes #11 6.721 checking for C compiler default output file name... a.out #11 6.722 checking for suffix of executables... #11 6.774 checking whether we are cross compiling... no #11 6.819 checking for suffix of object files... o #11 6.841 checking whether the compiler supports GNU C... yes #11 6.872 checking whether gcc accepts -g... yes #11 6.894 checking for gcc option to enable C11 features... none needed #11 6.926 checking whether gcc understands -c and -o together... yes #11 6.979 checking whether make supports the include directive... yes (GNU style) #11 6.991 checking dependency style of gcc... gcc3 #11 7.036 checking for pkg-config... /usr/bin/pkg-config #11 7.037 checking pkg-config is at least version 0.9.0... yes #11 7.038 checking for libosmocore... yes #11 7.047 checking for libosmocoding... yes #11 7.060 checking for libosmogsm... yes #11 7.068 checking for stdio.h... yes #11 7.091 checking for stdlib.h... yes #11 7.114 checking for string.h... yes #11 7.138 checking for inttypes.h... yes #11 7.164 checking for stdint.h... yes #11 7.194 checking for strings.h... yes #11 7.221 checking for sys/stat.h... yes #11 7.245 checking for sys/types.h... yes #11 7.272 checking for unistd.h... yes #11 7.295 checking for grep that handles long lines and -e... /usr/bin/grep #11 7.298 checking for egrep... /usr/bin/grep -E #11 7.309 checking build system type... x86_64-pc-linux-gnu #11 7.369 checking host system type... x86_64-pc-linux-gnu #11 7.370 checking how to print strings... printf #11 7.398 checking for a sed that does not truncate output... /usr/bin/sed #11 7.404 checking for fgrep... /usr/bin/grep -F #11 7.406 checking for ld used by gcc... /usr/bin/ld #11 7.414 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 7.417 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 7.420 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 7.443 checking whether ln -s works... yes #11 7.443 checking the maximum length of command line arguments... 1572864 #11 7.451 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 7.452 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 7.452 checking for /usr/bin/ld option to reload object files... -r #11 7.452 checking for file... file #11 7.453 checking for objdump... objdump #11 7.453 checking how to recognize dependent libraries... pass_all #11 7.454 checking for dlltool... no #11 7.454 checking how to associate runtime and link libraries... printf %s\n #11 7.455 checking for ar... ar #11 7.455 checking for archiver @FILE support... @ #11 7.489 checking for strip... strip #11 7.490 checking for ranlib... ranlib #11 7.490 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 7.566 checking for sysroot... no #11 7.566 checking for a working dd... /usr/bin/dd #11 7.573 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 7.594 checking for mt... no #11 7.594 checking if : is a manifest tool... no #11 7.600 checking for dlfcn.h... yes #11 7.625 checking for objdir... .libs #11 7.699 checking if gcc supports -fno-rtti -fno-exceptions... no #11 7.723 checking for gcc option to produce PIC... -fPIC -DPIC #11 7.723 checking if gcc PIC flag -fPIC -DPIC works... yes #11 7.746 checking if gcc static flag -static works... yes #11 7.812 checking if gcc supports -c -o file.o... yes #11 7.851 checking if gcc supports -c -o file.o... (cached) yes #11 7.852 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 7.867 checking whether -lc should be explicitly linked in... no #11 7.899 checking dynamic linker characteristics... GNU/Linux ld.so #11 7.964 checking how to hardcode library paths into programs... immediate #11 7.964 checking whether stripping libraries is possible... yes #11 7.966 checking if libtool supports shared libraries... yes #11 7.967 checking whether to build shared libraries... yes #11 7.967 checking whether to build static libraries... yes #11 7.967 CFLAGS=" -std=gnu11" #11 7.967 CPPFLAGS="" #11 7.999 checking that generated files are newer than configure... done #11 8.000 configure: creating ./config.status #11 8.661 config.status: creating include/Makefile #11 8.683 config.status: creating include/osmocom/Makefile #11 8.705 config.status: creating include/osmocom/bb/Makefile #11 8.725 config.status: creating include/osmocom/bb/l1sched/Makefile #11 8.746 config.status: creating include/osmocom/bb/trxcon/Makefile #11 8.768 config.status: creating src/Makefile #11 8.791 config.status: creating Makefile #11 8.806 config.status: executing depfiles commands #11 8.916 config.status: executing libtool commands #11 8.974 echo 0.0.0 > .version-t && mv .version-t .version #11 8.977 make install-recursive #11 8.981 make[1]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 8.987 Making install in include #11 8.989 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 8.994 Making install in osmocom #11 8.997 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.002 Making install in bb #11 9.005 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.010 Making install in l1sched #11 9.012 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.016 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.016 make[6]: Nothing to be done for 'install-exec-am'. #11 9.016 make[6]: Nothing to be done for 'install-data-am'. #11 9.016 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.016 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 9.016 Making install in trxcon #11 9.019 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.022 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.022 make[6]: Nothing to be done for 'install-exec-am'. #11 9.022 make[6]: Nothing to be done for 'install-data-am'. #11 9.022 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.022 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 9.025 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.029 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.029 make[6]: Nothing to be done for 'install-exec-am'. #11 9.029 make[6]: Nothing to be done for 'install-data-am'. #11 9.029 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.029 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.030 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 9.032 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.035 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.035 make[5]: Nothing to be done for 'install-exec-am'. #11 9.035 make[5]: Nothing to be done for 'install-data-am'. #11 9.035 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.036 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.036 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 9.040 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.043 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.043 make[4]: Nothing to be done for 'install-exec-am'. #11 9.043 make[4]: Nothing to be done for 'install-data-am'. #11 9.043 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.043 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.043 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 9.044 Making install in src #11 9.048 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 9.050 CC l1ctl_server.o #11 9.050 CC trxcon_main.o #11 9.050 CC logging.o #11 9.051 CC trx_if.o #11 9.051 CC trxcon_shim.lo #11 9.051 CC trxcon_inst.lo #11 9.051 CC trxcon_fsm.lo #11 9.052 CC l1ctl.lo #11 9.052 CC sched_lchan_pdtch.lo #11 9.052 CC sched_lchan_common.lo #11 9.053 CC sched_lchan_desc.lo #11 9.053 CC sched_lchan_xcch.lo #11 9.054 CC sched_lchan_tchf.lo #11 9.054 CC sched_lchan_rach.lo #11 9.054 CC sched_lchan_tchh.lo #11 9.055 CC sched_lchan_sch.lo #11 9.102 CC sched_mframe.lo #11 9.126 CC sched_prim.lo #11 9.144 CC sched_trx.lo #11 9.159 sched_lchan_tchh.c: In function 'rx_tchh_fn': #11 9.159 sched_lchan_tchh.c:339:17: warning: 'gsm0503_tch_hr_decode' is deprecated: Use gsm0503_tch_hr_decode2() instead [-Wdeprecated-declarations] #11 9.159 339 | rc = gsm0503_tch_hr_decode(&tch_data[0], BUFTAIL8(bursts_p), #11 9.159 | ^~ #11 9.159 In file included from sched_lchan_tchh.c:36: #11 9.159 /usr/include/osmocom/coding/gsm0503_coding.h:52:5: note: declared here #11 9.159 52 | int gsm0503_tch_hr_decode(uint8_t *tch_data, const sbit_t *bursts, int odd, #11 9.159 | ^~~~~~~~~~~~~~~~~~~~~ #11 9.208 CC l1gprs.lo #11 9.375 CCLD libtrxcon.la #11 9.433 CCLD libl1sched.la #11 9.478 CCLD libl1gprs.la #11 9.608 CCLD trxcon #11 10.13 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.13 make[3]: Nothing to be done for 'install-data-am'. #11 10.13 /usr/bin/mkdir -p '/usr/local/bin' #11 10.14 /bin/bash ../libtool --mode=install /usr/bin/install -c trxcon '/usr/local/bin' #11 10.18 libtool: install: /usr/bin/install -c trxcon /usr/local/bin/trxcon #11 10.18 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.18 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 10.18 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.19 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.19 make[3]: Nothing to be done for 'install-exec-am'. #11 10.19 make[3]: Nothing to be done for 'install-data-am'. #11 10.19 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.19 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 10.19 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 DONE 10.3s #12 [ 8/10] RUN CD osmocom-bb/src/host/virt_phy && autoreconf -fi && ./configure && make "-j$(nproc)" install #12 2.197 configure.ac:3: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #12 2.197 configure.ac:3: You should use the 'AC_CONFIG_HEADERS' macro instead. #12 2.197 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #12 2.197 aclocal.m4:1089: AM_CONFIG_HEADER is expanded from... #12 2.197 configure.ac:3: the top level #12 2.197 configure.ac:23: warning: The macro `AC_HEADER_STDC' is obsolete. #12 2.197 configure.ac:23: You should run autoupdate. #12 2.197 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #12 2.197 configure.ac:23: the top level #12 2.725 configure.ac:13: installing './compile' #12 2.728 configure.ac:4: installing './install-sh' #12 2.731 configure.ac:4: installing './missing' #12 2.800 src/Makefile.am: installing './depcomp' #12 2.924 checking for a BSD-compatible install... /usr/bin/install -c #12 2.934 checking whether build environment is sane... yes #12 2.948 checking for a race-free mkdir -p... /usr/bin/mkdir -p #12 2.951 checking for gawk... gawk #12 2.951 checking whether make sets $(MAKE)... yes #12 2.965 checking whether make supports nested variables... yes #12 2.974 checking whether make supports nested variables... (cached) yes #12 2.974 checking whether make sets $(MAKE)... (cached) yes #12 2.978 checking for gcc... gcc #12 3.003 checking whether the C compiler works... yes #12 3.043 checking for C compiler default output file name... a.out #12 3.044 checking for suffix of executables... #12 3.080 checking whether we are cross compiling... no #12 3.126 checking for suffix of object files... o #12 3.148 checking whether the compiler supports GNU C... yes #12 3.174 checking whether gcc accepts -g... yes #12 3.200 checking for gcc option to enable C11 features... none needed #12 3.227 checking whether gcc understands -c and -o together... yes #12 3.266 checking whether make supports the include directive... yes (GNU style) #12 3.276 checking dependency style of gcc... gcc3 #12 3.319 checking for pkg-config... /usr/bin/pkg-config #12 3.319 checking pkg-config is at least version 0.9.0... yes #12 3.320 checking for libosmocore... yes #12 3.330 checking for libosmogsm... yes #12 3.339 checking for stdio.h... yes #12 3.358 checking for stdlib.h... yes #12 3.381 checking for string.h... yes #12 3.405 checking for inttypes.h... yes #12 3.428 checking for stdint.h... yes #12 3.449 checking for strings.h... yes #12 3.470 checking for sys/stat.h... yes #12 3.499 checking for sys/types.h... yes #12 3.522 checking for unistd.h... yes #12 3.545 checking for grep that handles long lines and -e... /usr/bin/grep #12 3.548 checking for egrep... /usr/bin/grep -E #12 3.551 CFLAGS=" -std=gnu11" #12 3.551 CPPFLAGS="" #12 3.571 checking that generated files are newer than configure... done #12 3.942 configure: creating ./config.status #12 4.041 config.status: creating Makefile #12 4.058 config.status: creating include/Makefile #12 4.081 config.status: creating include/osmocom/Makefile #12 4.105 config.status: creating include/osmocom/bb/Makefile #12 4.128 config.status: creating include/osmocom/bb/virtphy/Makefile #12 4.149 config.status: creating src/Makefile #12 4.170 config.status: creating config.h #12 4.180 config.status: executing depfiles commands #12 4.327 Making install in include #12 4.329 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.335 Making install in osmocom #12 4.337 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.342 Making install in bb #12 4.345 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.350 Making install in virtphy #12 4.352 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.355 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.355 make[5]: Nothing to be done for 'install-exec-am'. #12 4.355 make[5]: Nothing to be done for 'install-data-am'. #12 4.355 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.355 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 4.357 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.360 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.360 make[5]: Nothing to be done for 'install-exec-am'. #12 4.360 make[5]: Nothing to be done for 'install-data-am'. #12 4.360 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.360 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.361 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 4.363 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.367 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.367 make[4]: Nothing to be done for 'install-exec-am'. #12 4.367 make[4]: Nothing to be done for 'install-data-am'. #12 4.367 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.367 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.368 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 4.370 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.373 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.373 make[3]: Nothing to be done for 'install-exec-am'. #12 4.373 make[3]: Nothing to be done for 'install-data-am'. #12 4.373 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.373 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.374 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 4.374 Making install in src #12 4.376 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.377 CC virtphy.o #12 4.377 CC l1gprs.o #12 4.378 CC logging.o #12 4.378 CC gsmtapl1_if.o #12 4.378 CC virt_prim_pm.o #12 4.378 CC l1ctl_sap.o #12 4.378 CC l1ctl_sock.o #12 4.379 CC virt_prim_fbsb.o #12 4.379 CC virt_prim_data.o #12 4.380 CC virt_prim_rach.o #12 4.380 CC virt_prim_traffic.o #12 4.381 CC virt_prim_pdch.o #12 4.382 CC virt_l1_sched_simple.o #12 4.382 CC virt_l1_model.o #12 4.387 CC shared/osmo_mcast_sock.o #12 4.387 CC shared/virtual_um.o #12 4.512 CCLD virtphy #12 4.572 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.572 make[2]: Nothing to be done for 'install-data-am'. #12 4.574 /usr/bin/mkdir -p '/usr/local/bin' #12 4.579 /usr/bin/install -c virtphy '/usr/local/bin' #12 4.582 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.583 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 4.585 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.591 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.591 make[2]: Nothing to be done for 'install-exec-am'. #12 4.593 /usr/bin/mkdir -p '/usr/local/share/doc/virtphy' #12 4.596 /usr/bin/install -c -m 644 README '/usr/local/share/doc/virtphy' #12 4.598 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 4.598 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 DONE 4.7s #13 [ 9/10] RUN MKDIR -p /data/unix #13 DONE 0.5s #14 [10/10] WORKDIR /DATA #14 DONE 0.2s #15 exporting to image #15 exporting layers #15 exporting layers 0.5s done #15 writing image sha256:9bb869d27be48828df2e0d482dd5459d700094854ca8817d339e4eb2b409e3bb done #15 naming to docker.io/osmocom-build/osmocom-bb-host-master:latest 0.0s done #15 DONE 0.6s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/osmocom-bb-host-master' + docker_image_exists osmocom-bb-host-master + docker images -q osmocom-build/osmocom-bb-host-master + test -n 9bb869d27be4 + list_osmo_packages debian-bookworm osmocom-bb-host-master + local distro=debian-bookworm + local image=osmocom-bb-host-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmocom-bb-host-master -c + [ -n ] + return + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends ttcn3-bts-test + local feed + echo debian-bookworm-titan + depends=debian-bookworm-titan + [ -n debian-bookworm-titan ] + docker_images_require debian-bookworm-titan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker pull registry.osmocom.org/osmocom-build/debian-bookworm-titan Using default tag: latest latest: Pulling from osmocom-build/debian-bookworm-titan Digest: sha256:00ea4ed1eea02094fbacf572c5ee2f6d9ad752a154eaad516f2f4bce43665e7d Status: Image is up to date for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest + continue + docker_distro_from_image_name ttcn3-bts-test + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name ttcn3-bts-test + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name ttcn3-bts-test + echo ttcn3-bts-test + dir=ttcn3-bts-test + pull_arg=--pull + grep ^FROM ../ttcn3-bts-test/Dockerfile + from_line=FROM $REGISTRY/$USER/debian-bookworm-titan + echo FROM $REGISTRY/$USER/debian-bookworm-titan + grep -q $USER + pull_arg= + set +x Building image: ttcn3-bts-test (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../ttcn3-bts-test BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/ttcn3-bts-test OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/ttcn3-bts-test' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=latest \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=jolly/work \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=jolly/work \ -t osmocom-build/ttcn3-bts-test:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 393B done #1 DONE 0.0s #2 [internal] load metadata for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #2 DONE 0.0s #3 [internal] load .dockerignore #3 transferring context: 2B done #3 DONE 0.0s #4 [1/4] FROM registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 876B done #5 DONE 0.1s #6 https://gerrit.osmocom.org/plugins/gitiles/osmo-ttcn3-hacks/+/master?format=TEXT #6 DONE 0.1s #7 [2/4] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-TTCN3-HACKS/+/MASTER?FORMAT=TEXT /tmp/commit #7 CACHED #8 [3/4] RUN TTCN3-DOCKER-PREPARE "master" bts #8 CACHED #9 [4/4] COPY GENERIC/BTS_TESTS.CFG /data/BTS_Tests.cfg #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:770f3c9d5cd30b83ef8603ff57907dec7e586534cea5e863c13a3aabb7a74871 0.0s done #10 naming to docker.io/osmocom-build/ttcn3-bts-test:latest done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/ttcn3-bts-test' + docker_image_exists ttcn3-bts-test + docker images -q osmocom-build/ttcn3-bts-test + test -n 770f3c9d5cd3 + list_osmo_packages debian-bookworm ttcn3-bts-test + local distro=debian-bookworm + local image=ttcn3-bts-test + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/ttcn3-bts-test -c + [ -n ] + return + set_clean_up_trap + trap clean_up_common EXIT INT TERM 0 + set -e + network_create + SUBNET=2960383 + seq 1 30 + echo (2960383 + 1) % 256 + bc + SUBNET=0 + NET_NAME=ttcn3-bts-test-0 + SUB4=172.18.0.0/24 + SUB6=fd02:db8:0::/64 + set +x Creating network ttcn3-bts-test-0, trying SUBNET=0... + docker network create --internal --subnet 172.18.0.0/24 --ipv6 --subnet fd02:db8:0::/64 ttcn3-bts-test-0 595043ae8025fab64788db0be2a5f040f9d4ad869d008058a18c3ec950052a66 + set +x ### Network ttcn3-bts-test-0 created (SUBNET=0) ### + return + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic + cp generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy + cp virtphy/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml + cp oml/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping + cp fh/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg + cp /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg.inc + cp Makefile /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/Makefile + network_replace_subnet_in_configs + set +x Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/Makefile Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + make -f /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/Makefile cfg command -v osmo-config-merge /usr/bin/osmo-config-merge docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bts.cfg /data/osmo-bts.src.cfg docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bsc.cfg /data/osmo-bsc.src.cfg osmo-config-merge osmo-bts.src.cfg generic/osmo-bts.confmerge > generic/osmo-bts.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.0.10/" generic/osmo-bts.gen.cfg osmo-config-merge osmo-bsc.src.cfg generic/osmo-bsc.confmerge > generic/osmo-bsc.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.0.10/" generic/osmo-bsc.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg virtphy/osmo-bts.confmerge > virtphy/osmo-bts.gen.cfg sed -i "/osmotrx/d" virtphy/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg oml/osmo-bts.confmerge > oml/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bsc.gen.cfg fh/osmo-bsc.confmerge > fh/osmo-bsc.gen.cfg + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc + cp generic/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/unix + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/fake_trx + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/trxcon + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/virtphy + start_config_generic + test_config_enabled generic + local config=generic + local i + local valid=0 + [ generic = generic ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ generic = generic ] + return 0 + network_replace_subnet_in_configs + set +x Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/Makefile Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/osmo-bts.gen.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 0 11 + NET=0 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-0 --ip 172.18.0.11 --ip6 fd02:db8:0::11 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.11 --ip6 fd02:db8:0::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc:/data --name jenkins-ttcn3-bts-test-io_uring-120-bsc -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmo-bsc-master /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 776984a4f6d59a1fab8311fbcd8b884887b984a710f69406714ae4d5923a8c09 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 0 20 + NET=0 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-0 --ip 172.18.0.20 --ip6 fd02:db8:0::20 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.20 --ip6 fd02:db8:0::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-io_uring-120-bts -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmo-bts-master /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 24bd08cd49a7e588ebced5dae985813a8e767c55fc54d46abdb9ed0d10a1d81d + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 0 21 + NET=0 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-0 --ip 172.18.0.21 --ip6 fd02:db8:0::21 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.21 --ip6 fd02:db8:0::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-io_uring-120-fake_trx -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.0.20 -r 172.18.0.22 --trx TRX1@172.18.0.20:5700/1 --trx TRX2@172.18.0.20:5700/2 --trx TRX3@172.18.0.20:5700/3 >>/data/fake_trx.out 2>&1 5c097718d81faadd0d6ae1a012676a82bf696b489cd65e922f28359bc967c917 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 0 22 + NET=0 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-0 --ip 172.18.0.22 --ip6 fd02:db8:0::22 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.22 --ip6 fd02:db8:0::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-io_uring-120-trxcon -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.0.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 8a022c32c8f0dc75d44c5b3e5e4e2ae5bf06e56814cca0f557d58b834721713c + start_testsuite generic + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=generic + docker_network_params 0 10 + NET=0 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-0 --ip 172.18.0.10 --ip6 fd02:db8:0::10 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.10 --ip6 fd02:db8:0::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.0.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-io_uring-120-ttcn3-bts-test -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@2ff368aacae4: Unix server socket created successfully. MC@2ff368aacae4: Listening on TCP port 39711. 2ff368aacae4 is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests 2ff368aacae4 39711 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@2ff368aacae4: New HC connected from 172.18.0.10 [172.18.0.10]. 2ff368aacae4: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@2ff368aacae4: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@2ff368aacae4: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@2ff368aacae4: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@2ff368aacae4: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@2ff368aacae4: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@2ff368aacae4: Configuration file was processed on all HCs. MC@2ff368aacae4: Creating MTC on host 172.18.0.10. MC@2ff368aacae4: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Tue Sep 10 11:47:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL(4)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL(4)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_est_dchan-RSL(4)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL(4)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(6)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(7)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(8)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(9)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(10)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(11)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(12)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(13)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(13)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 0, t3 := 22, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(14)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 45, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(15)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 32, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(16)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 32, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(17)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 4, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(18)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 45, t2 := 5 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(19)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 4, t2 := 13 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(20)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(21)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(22)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 45, t2 := 24 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(23)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 1, t3 := 4, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(24)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10000001'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@2ff368aacae4: Received IMM.ASS for our RACH! TC_est_dchan(25)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@2ff368aacae4: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Tue Sep 10 11:47:43 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13838797) Waiting for packet dumper to finish... 1 (prev_count=13838797, count=13844618) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Tue Sep 10 11:47:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL(27)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@2ff368aacae4: Test case TC_chan_act_stress finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Tue Sep 10 11:47:50 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9275037) Waiting for packet dumper to finish... 1 (prev_count=9275037, count=9275532) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Tue Sep 10 11:47:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_react-RSL(31)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_chan_act_react-RSL(31)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL(31)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_chan_act_react-RSL(31)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_react(33)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@2ff368aacae4: Test case TC_chan_act_react finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Tue Sep 10 11:47:56 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1901304) Waiting for packet dumper to finish... 1 (prev_count=1901304, count=1901983) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Tue Sep 10 11:47:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@2ff368aacae4: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@2ff368aacae4: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Tue Sep 10 11:48:01 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1877269) Waiting for packet dumper to finish... 1 (prev_count=1877269, count=1877764) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Tue Sep 10 11:48:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(51)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(52)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@2ff368aacae4: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Tue Sep 10 11:48:07 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1997997) Waiting for packet dumper to finish... 1 (prev_count=1997997, count=1998492) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Tue Sep 10 11:48:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL(55)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_deact_sacch-RSL(55)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL(55)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(57)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(58)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(59)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(60)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(61)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_deact_sacch(62)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(62)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_deact_sacch(63)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(63)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(64)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(65)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(66)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_deact_sacch(67)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(67)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_deact_sacch(68)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(68)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_deact_sacch(69)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(69)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_deact_sacch(70)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(70)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_deact_sacch(71)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(71)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_deact_sacch(72)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(72)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_deact_sacch(73)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(73)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_deact_sacch(74)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(74)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_deact_sacch(75)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(75)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_deact_sacch(76)@2ff368aacae4: setverdict(pass): none -> pass TC_deact_sacch(76)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@2ff368aacae4: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_deact_sacch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Tue Sep 10 11:49:42 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=88256713) Waiting for packet dumper to finish... 1 (prev_count=88256713, count=88257300) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Tue Sep 10 11:49:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL(78)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_filling-RSL(78)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL(78)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(80)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(81)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(82)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(83)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(84)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_filling(85)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(85)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_filling(86)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(86)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(87)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(88)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(89)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_filling(90)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(90)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_filling(91)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(91)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_filling(92)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(92)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_filling(93)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(93)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_filling(94)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(94)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_filling(95)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(95)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_filling(96)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(96)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_filling(97)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(97)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_filling(98)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(98)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_filling(99)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_filling(99)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@2ff368aacae4: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_filling finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Tue Sep 10 11:50:02 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12170364) Waiting for packet dumper to finish... 1 (prev_count=12170364, count=12170859) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Tue Sep 10 11:50:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL(101)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL(101)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_info_mod(108)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_info_mod(109)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_info_mod(113)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_info_mod(114)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_info_mod(115)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_info_mod(116)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_info_mod(117)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_info_mod(118)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_info_mod(119)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_info_mod(120)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_info_mod(121)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_info_mod(122)@2ff368aacae4: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2ff368aacae4: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2ff368aacae4: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@2ff368aacae4: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_info_mod finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Tue Sep 10 11:50:36 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30150600) Waiting for packet dumper to finish... 1 (prev_count=30150600, count=30151095) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Tue Sep 10 11:50:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL(124)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL(124)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL(124)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sacch_multi-RSL(124)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(126)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(127)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(128)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(130)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi(131)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi(132)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(132)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(134)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi(136)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(136)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi(137)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(137)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi(138)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(138)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi(139)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(139)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi(140)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi(141)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(141)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi(142)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi(143)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(143)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi(144)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi(145)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi(145)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL-IPA(123)@2ff368aacae4: Final verdict of PTC: none TC_sacch_multi-RSL(124)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_multi finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Tue Sep 10 11:52:06 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=84908188) Waiting for packet dumper to finish... 1 (prev_count=84908188, count=84908811) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Tue Sep 10 11:52:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL(147)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL(147)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL(147)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL(147)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi_chg(154)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi_chg(155)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi_chg(159)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi_chg(160)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi_chg(161)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi_chg(162)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi_chg(163)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi_chg(164)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi_chg(165)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi_chg(166)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi_chg(167)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi_chg(168)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@2ff368aacae4: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Tue Sep 10 11:53:46 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=90474657) Waiting for packet dumper to finish... 1 (prev_count=90474657, count=90475152) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Tue Sep 10 11:53:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL(170)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(172)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(173)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(175)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(176)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_chan_act(177)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(177)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_chan_act(178)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(178)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(179)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(180)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(181)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_chan_act(182)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(182)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act(183)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(183)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_chan_act(184)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(184)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_chan_act(185)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(185)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_chan_act(186)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(186)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_chan_act(187)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(187)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act(188)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(188)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_chan_act(189)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(189)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_chan_act(190)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(190)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_chan_act(191)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act(191)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@2ff368aacae4: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_chan_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Tue Sep 10 11:54:21 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=26454932) Waiting for packet dumper to finish... 1 (prev_count=26454932, count=26455427) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Tue Sep 10 11:54:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@2ff368aacae4: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Tue Sep 10 11:54:56 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30949552) Waiting for packet dumper to finish... 1 (prev_count=30949552, count=30950047) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Tue Sep 10 11:54:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: Timeout waiting for SACCH '8131A043009988A094EE941211944E2D7A8080'O TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@2ff368aacae4: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Tue Sep 10 11:55:48 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=48957291) Waiting for packet dumper to finish... 1 (prev_count=48957291, count=48962675) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Tue Sep 10 11:55:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL(207)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_content-RSL-IPA(206)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rach_content-RSL(207)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@2ff368aacae4: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_content finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Tue Sep 10 11:56:07 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12518193) Waiting for packet dumper to finish... 1 (prev_count=12518193, count=12518688) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Tue Sep 10 11:56:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@2ff368aacae4: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@2ff368aacae4: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_content_emerg finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Tue Sep 10 11:56:22 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11449926) Waiting for packet dumper to finish... 1 (prev_count=11449926, count=11450549) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Tue Sep 10 11:56:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_count-RSL(213)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_count-RSL(213)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_count-RSL(213)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_count-RSL(213)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL(213)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL(213)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@2ff368aacae4: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 34, t2 := 17 } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@2ff368aacae4: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_count finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Tue Sep 10 11:56:40 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14579587) Waiting for packet dumper to finish... 1 (prev_count=14579587, count=14580082) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Tue Sep 10 11:56:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL(216)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL(216)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL(216)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@2ff368aacae4: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_max_ta finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Tue Sep 10 11:56:55 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10330566) Waiting for packet dumper to finish... 1 (prev_count=10330566, count=10331061) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Tue Sep 10 11:56:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL(219)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL(219)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL(219)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(221)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(222)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(223)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(224)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(225)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_ho_rach(226)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(226)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_ho_rach(227)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(227)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(228)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(229)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(230)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_ho_rach(231)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(231)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(231)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ho_rach(232)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(232)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(232)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_ho_rach(233)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(233)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_ho_rach(234)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(234)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_ho_rach(235)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(235)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_ho_rach(236)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(236)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ho_rach(237)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(237)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_ho_rach(238)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(238)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_ho_rach(239)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(239)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_ho_rach(240)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_rach(240)@2ff368aacae4: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@2ff368aacae4: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_ho_rach finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Tue Sep 10 11:57:04 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4530417) Waiting for packet dumper to finish... 1 (prev_count=4530417, count=4530912) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Tue Sep 10 11:57:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@2ff368aacae4: setverdict(pass): none -> pass TC_ho_physical_info(244)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@2ff368aacae4: Sending handover Access Burst TC_ho_physical_info(244)@2ff368aacae4: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@2ff368aacae4: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@2ff368aacae4: Final verdict of PTC: none TC_ho_physical_info(244)@2ff368aacae4: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" IPA-CTRL-CLI-IPA(243)@2ff368aacae4: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@2ff368aacae4: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Tue Sep 10 11:57:11 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4204069) Waiting for packet dumper to finish... 1 (prev_count=4204069, count=4204564) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Tue Sep 10 11:57:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@2ff368aacae4: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Tue Sep 10 11:57:27 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5459264) Waiting for packet dumper to finish... 1 (prev_count=5459264, count=5459759) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Tue Sep 10 11:57:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@2ff368aacae4: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Tue Sep 10 11:57:38 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4150665) Waiting for packet dumper to finish... 1 (prev_count=4150665, count=4156049) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Tue Sep 10 11:57:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL(252)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rach_load_count-RSL(252)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rach_load_count-RSL(252)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@2ff368aacae4: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@2ff368aacae4: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rach_load_count finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Tue Sep 10 11:58:03 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17326462) Waiting for packet dumper to finish... 1 (prev_count=17326462, count=17332283) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Tue Sep 10 11:58:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Tue Sep 10 11:58:17 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11193433) Waiting for packet dumper to finish... 1 (prev_count=11193433, count=11193928) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Tue Sep 10 11:58:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL(259)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Tue Sep 10 11:58:30 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11197850) Waiting for packet dumper to finish... 1 (prev_count=11197850, count=11198345) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Tue Sep 10 11:58:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_speech_tchh(266)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh(266)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh-RSL(263)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh(265): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh(266): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass'. Tue Sep 10 11:58:52 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19690152) Waiting for packet dumper to finish... 1 (prev_count=19690152, count=19690647) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Tue Sep 10 11:58:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh_facch-RSL(268)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(269)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(267)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(267): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(268): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(269): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_facch(270): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_facch(271): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh_facch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass'. Tue Sep 10 11:59:14 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_facch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19690721) Waiting for packet dumper to finish... 1 (prev_count=19690721, count=19691216) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Tue Sep 10 11:59:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3680 TC_meas_res_speech_tchh_toa256-RSL(273)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(274)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(272): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(273): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(274): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_toa256(275): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_toa256(276): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass'. Tue Sep 10 11:59:36 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_toa256 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19689292) Waiting for packet dumper to finish... 1 (prev_count=19689292, count=19689787) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Tue Sep 10 11:59:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_tchf(281)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_tchf(282)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_tchf(283)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3694 TC_meas_res_sign_tchf-RSL(278)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(279)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL-IPA(277)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(277): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchf-RSL(278): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(279): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchf(280): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchf(281): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchf(282): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchf(283): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_sign_tchf finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass'. Tue Sep 10 12:00:13 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38218742) Waiting for packet dumper to finish... 1 (prev_count=38218742, count=38224126) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Tue Sep 10 12:00:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_tchh(288)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3707 TC_meas_res_sign_tchh-RSL(285)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(284)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(286)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(284): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh-RSL(285): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(286): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh(287): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh(288): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_sign_tchh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass'. Tue Sep 10 12:00:35 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18338374) Waiting for packet dumper to finish... 1 (prev_count=18338374, count=18338869) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Tue Sep 10 12:00:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(290)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(291)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(289)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(289): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(290): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(291): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch4(292): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch4(293): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch4(294): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch4(295): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Tue Sep 10 12:01:13 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31262258) Waiting for packet dumper to finish... 1 (prev_count=31262258, count=31268079) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Tue Sep 10 12:01:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3733 TC_meas_res_sign_sdcch8-RSL(297)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(296)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(298)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(296): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(297): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(298): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(299): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(300): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(301): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(302): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(303): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(304): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(305): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_sdcch8(306): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_sign_sdcch8 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass'. Tue Sep 10 12:02:23 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch8 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60664681) Waiting for packet dumper to finish... 1 (prev_count=60664681, count=60670502) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Tue Sep 10 12:02:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@2ff368aacae4: Final verdict of PTC: pass TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3747 TC_meas_res_sign_tchh_toa256-RSL(308)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(309)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(307): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(308): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(309): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh_toa256(310): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_sign_tchh_toa256(311): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass'. Tue Sep 10 12:02:50 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh_toa256 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19387542) Waiting for packet dumper to finish... 1 (prev_count=19387542, count=19388037) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Tue Sep 10 12:02:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(313)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(314)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(312): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(313): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(314): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(315): pass (none -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Tue Sep 10 12:03:03 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11212134) Waiting for packet dumper to finish... 1 (prev_count=11212134, count=11217955) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Tue Sep 10 12:03:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(317)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(318)@2ff368aacae4: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(316): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(317): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(318): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(319): pass (none -> pass) MTC@2ff368aacae4: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Tue Sep 10 12:03:17 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10782870) Waiting for packet dumper to finish... 1 (prev_count=10782870, count=10783365) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Tue Sep 10 12:03:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=18 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(323)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(321)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(322)@2ff368aacae4: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(320): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(321): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(322): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(323): pass (none -> pass) MTC@2ff368aacae4: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Tue Sep 10 12:03:29 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7672836) Waiting for packet dumper to finish... 1 (prev_count=7672836, count=7673331) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Tue Sep 10 12:03:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=0 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=0 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=0 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=0 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=0 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=0 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=8 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=8 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=8 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=8 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=16 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=16 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=16 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=16 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=16 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=24 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=24 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=24 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=24 TC_tx_power_down_bcch(327)@2ff368aacae4: Received rx_level=30 TC_tx_power_down_bcch(327)@2ff368aacae4: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: IPA: Closed TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@2ff368aacae4: Final verdict of PTC: none TC_tx_power_down_bcch(327)@2ff368aacae4: setverdict(pass): none -> pass TC_tx_power_down_bcch(327)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(325)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(326)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(324): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_down_bcch-RSL(325): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(326): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_down_bcch(327): pass (none -> pass) MTC@2ff368aacae4: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Tue Sep 10 12:03:44 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7003462) Waiting for packet dumper to finish... 1 (prev_count=7003462, count=7003957) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Tue Sep 10 12:03:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=18 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@2ff368aacae4: Test Component 331 has requested to stop MTC. Terminating current testcase execution. TC_tx_power_ramp_adm_state_change-RSL(329)@2ff368aacae4: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(330)@2ff368aacae4: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change(331)@2ff368aacae4: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(328): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(329): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(330): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tx_power_ramp_adm_state_change(331): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@2ff368aacae4: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail'. Tue Sep 10 12:04:10 UTC 2024 ------ BTS_Tests.TC_tx_power_ramp_adm_state_change fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18026565) Waiting for packet dumper to finish... 1 (prev_count=18026565, count=18027244) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Tue Sep 10 12:04:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: SACCH received: '00000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(333)@2ff368aacae4: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(334)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(332): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(333): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(334): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_bs_pwr_static_ass(335): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Tue Sep 10 12:04:16 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2464614) Waiting for packet dumper to finish... 1 (prev_count=2464614, count=2465109) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Tue Sep 10 12:04:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(337)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(338)@2ff368aacae4: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(336): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(337): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(338): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(339): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Tue Sep 10 12:04:23 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2454421) Waiting for packet dumper to finish... 1 (prev_count=2454421, count=2460242) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Tue Sep 10 12:04:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(343)@2ff368aacae4: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(344)@2ff368aacae4: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(345)@2ff368aacae4: Final verdict of PTC: pass TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 2, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 4, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 6, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 8, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 10, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 12, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 14, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 16, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 17, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 18, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 19, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 20, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 21, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 22, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 23, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 24, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 25, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 26, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 27, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 28, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 29, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 30, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 31, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_ctrl(346)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3894 TC_rsl_ms_pwr_ctrl-RSL(341)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(342)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(340): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(341): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(342): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_ctrl(343): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_ctrl(344): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_ctrl(345): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_ctrl(346): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass'. Tue Sep 10 12:05:30 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_ctrl pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=71252450) Waiting for packet dumper to finish... 1 (prev_count=71252450, count=71252945) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Tue Sep 10 12:05:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(350)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(348)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(349)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(347)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(347): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(348): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(349): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(350): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Tue Sep 10 12:05:51 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14695941) Waiting for packet dumper to finish... 1 (prev_count=14695941, count=14696436) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Tue Sep 10 12:05:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(354)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(352)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(353)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(351): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(352): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(353): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(354): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Tue Sep 10 12:06:07 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14126758) Waiting for packet dumper to finish... 1 (prev_count=14126758, count=14127253) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Tue Sep 10 12:06:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(358)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(356)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(357)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(355)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(355): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(356): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(357): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(358): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Tue Sep 10 12:06:33 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24023617) Waiting for packet dumper to finish... 1 (prev_count=24023617, count=24029438) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Tue Sep 10 12:06:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(362)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(360)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(359)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(361)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(359): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(360): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(361): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(362): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Tue Sep 10 12:06:49 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13607624) Waiting for packet dumper to finish... 1 (prev_count=13607624, count=13608119) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Tue Sep 10 12:06:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(366)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(364)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(365)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(363): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(364): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(365): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(366): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Tue Sep 10 12:07:01 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9373898) Waiting for packet dumper to finish... 1 (prev_count=9373898, count=9374393) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Tue Sep 10 12:07:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(370)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(368)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(369)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(367)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(367): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(368): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(369): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(370): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Tue Sep 10 12:07:16 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13201280) Waiting for packet dumper to finish... 1 (prev_count=13201280, count=13201775) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Tue Sep 10 12:07:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(374)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(374)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(374)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_chan_initial_ms_pwr(375)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(375)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(375)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(375)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(376)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(376)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(376)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(376)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_chan_initial_ms_pwr(377)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(377)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(377)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(377)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(372)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(373)@2ff368aacae4: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(371)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(371): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(372): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(373): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(374): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(375): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(376): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(377): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Tue Sep 10 12:07:24 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3617553) Waiting for packet dumper to finish... 1 (prev_count=3617553, count=3618048) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Tue Sep 10 12:07:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(381)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(381)@2ff368aacae4: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(381)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(381)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(379)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@2ff368aacae4: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(378)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(378): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(379): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_chan_initial_ta(381): pass (none -> pass) MTC@2ff368aacae4: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Tue Sep 10 12:07:30 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2339678) Waiting for packet dumper to finish... 1 (prev_count=2339678, count=2340173) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Tue Sep 10 12:07:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(383)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(385)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_modify_encr(385)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(385)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_rsl_modify_encr(385)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_modify_encr(386)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_modify_encr(386)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_rsl_modify_encr(386)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(386)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(387)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_modify_encr(387)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_rsl_modify_encr(387)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(387)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_modify_encr(388)@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_modify_encr(388)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_rsl_modify_encr(388)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(388)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(383)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@2ff368aacae4: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(382)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(382): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_modify_encr-RSL(383): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_modify_encr(385): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_modify_encr(386): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_modify_encr(387): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_modify_encr(388): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Tue Sep 10 12:07:48 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14228228) Waiting for packet dumper to finish... 1 (prev_count=14228228, count=14233612) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Tue Sep 10 12:07:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7811 IPA-CTRL-CLI-IPA(391)@2ff368aacae4: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL(390)@2ff368aacae4: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(389)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(389): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(390): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(391): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Tue Sep 10 12:08:07 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13950077) Waiting for packet dumper to finish... 1 (prev_count=13950077, count=13950572) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Tue Sep 10 12:08:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL(393)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL(393)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL(393)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(393)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL(393)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(393)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit(395)@2ff368aacae4: setverdict(pass): none -> pass TC_conn_fail_crit(395)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(395)@2ff368aacae4: Final verdict of PTC: pass TC_conn_fail_crit-RSL(393)@2ff368aacae4: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(392)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(394)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(392): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_conn_fail_crit-RSL(393): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(394): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_conn_fail_crit(395): pass (none -> pass) MTC@2ff368aacae4: Test case TC_conn_fail_crit finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Tue Sep 10 12:08:30 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16995436) Waiting for packet dumper to finish... 1 (prev_count=16995436, count=16995931) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Tue Sep 10 12:08:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(397)@2ff368aacae4: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(396)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(398)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(396): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_paging_imsi_80percent-RSL(397): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(398): none (pass -> pass) MTC@2ff368aacae4: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Tue Sep 10 12:08:57 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21049382) Waiting for packet dumper to finish... 1 (prev_count=21049382, count=21049877) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Tue Sep 10 12:09:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(400)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(401)@2ff368aacae4: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(399)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(399): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_paging_tmsi_80percent-RSL(400): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(401): none (pass -> pass) MTC@2ff368aacae4: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Tue Sep 10 12:09:24 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21389422) Waiting for packet dumper to finish... 1 (prev_count=21389422, count=21389917) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Tue Sep 10 12:09:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(403)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(404)@2ff368aacae4: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(402)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(402): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_paging_imsi_200percent-RSL(403): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(404): none (pass -> pass) MTC@2ff368aacae4: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Tue Sep 10 12:10:03 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32469528) Waiting for packet dumper to finish... 1 (prev_count=32469528, count=32475349) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Tue Sep 10 12:10:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=877 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(406)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(407)@2ff368aacae4: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(405)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(405): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_paging_tmsi_200percent-RSL(406): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(407): none (pass -> pass) MTC@2ff368aacae4: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Tue Sep 10 12:10:36 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29141085) Waiting for packet dumper to finish... 1 (prev_count=29141085, count=29141580) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Tue Sep 10 12:10:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL(409)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(409)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(409)@2ff368aacae4: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(408)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(410)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(408): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_protocol_error-RSL(409): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(410): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Tue Sep 10 12:10:42 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1870884) Waiting for packet dumper to finish... 1 (prev_count=1870884, count=1871379) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Tue Sep 10 12:10:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(412)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(413)@2ff368aacae4: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(411)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(411): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_mand_ie_error-RSL(412): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(413): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Tue Sep 10 12:10:47 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1872203) Waiting for packet dumper to finish... 1 (prev_count=1872203, count=1872698) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Tue Sep 10 12:10:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(415)@2ff368aacae4: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(414)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(416)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(414): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rsl_ie_content_error-RSL(415): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(416): none (pass -> pass) MTC@2ff368aacae4: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Tue Sep 10 12:10:53 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1872963) Waiting for packet dumper to finish... 1 (prev_count=1872963, count=1878784) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Tue Sep 10 12:10:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(418)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL(418)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL(418)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL(418)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(418)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_si_sched_default-RSL(418)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(418)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(418)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: "TC_si_sched_default": TC=0 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_default": TC=1 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_default": TC=2 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_default": TC=3 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_default": TC=4 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_default": TC=5 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_default": TC=6 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_default": TC=7 has #of SI=4 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(418)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(419)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(417)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_default-RSL-IPA(417): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_default-RSL(418): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(419): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_default finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Tue Sep 10 12:11:06 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8873491) Waiting for packet dumper to finish... 1 (prev_count=8873491, count=8873986) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Tue Sep 10 12:11:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(421)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL(421)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_1-RSL(421)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL(421)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(421)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_si_sched_1-RSL(421)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(421)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(421)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: "TC_si_sched_1": TC=0 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_1": TC=1 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_1": TC=2 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_1": TC=3 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_1": TC=4 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_1": TC=5 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_1": TC=6 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_1": TC=7 has #of SI=4 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(421)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(422)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(420)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_1-RSL-IPA(420): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_1-RSL(421): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(422): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_1 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Tue Sep 10 12:11:20 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8871730) Waiting for packet dumper to finish... 1 (prev_count=8871730, count=8872225) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Tue Sep 10 12:11:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL(424)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(424)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL(424)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(424)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(424)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(424)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(424)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(423)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(425)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(423): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2bis-RSL(424): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(425): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_2bis finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Tue Sep 10 12:11:34 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8879046) Waiting for packet dumper to finish... 1 (prev_count=8879046, count=8884430) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Tue Sep 10 12:11:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(427)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(427)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(427)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL(427)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(427)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL(427)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(427)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(427)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(426)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(428)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(426): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2ter-RSL(427): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(428): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_2ter finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Tue Sep 10 12:11:47 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8876622) Waiting for packet dumper to finish... 1 (prev_count=8876622, count=8877117) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Tue Sep 10 12:11:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(430)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(431)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(429)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(429): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(430): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(431): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Tue Sep 10 12:12:01 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8877776) Waiting for packet dumper to finish... 1 (prev_count=8877776, count=8878271) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Tue Sep 10 12:12:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(433)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(433)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(433)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL(433)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(433)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@2ff368aacae4: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(433)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(432)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(434)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(432): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_2quater-RSL(433): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(434): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_2quater finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Tue Sep 10 12:12:22 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15858838) Waiting for packet dumper to finish... 1 (prev_count=15858838, count=15859333) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Tue Sep 10 12:12:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(436)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_13-RSL(436)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL(436)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(436)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_si_sched_13-RSL(436)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(436)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(436)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: "TC_si_sched_13": TC=0 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_13": TC=1 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_13": TC=2 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_13": TC=3 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_13": TC=4 has #of SI=5 MTC@2ff368aacae4: "TC_si_sched_13": TC=5 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_13": TC=6 has #of SI=4 MTC@2ff368aacae4: "TC_si_sched_13": TC=7 has #of SI=4 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: not-bccch-extended MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(436)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(435)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_13-RSL-IPA(435): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_13-RSL(436): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_13 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Tue Sep 10 12:12:36 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8883035) Waiting for packet dumper to finish... 1 (prev_count=8883035, count=8883530) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Tue Sep 10 12:12:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@2ff368aacae4: Protocol discriminator is not RR (!= '0110'B): 0 MTC@2ff368aacae4: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@2ff368aacae4: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@2ff368aacae4: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@2ff368aacae4: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@2ff368aacae4: not-bccch-extended MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(439)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(440)@2ff368aacae4: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(438): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(439): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(440): none (pass -> pass) MTC@2ff368aacae4: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Tue Sep 10 12:12:58 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15890197) Waiting for packet dumper to finish... 1 (prev_count=15890197, count=15890692) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Tue Sep 10 12:13:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(444)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(444)@2ff368aacae4: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL-IPA(441)@2ff368aacae4: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL(442)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(443)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(441): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(442): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(443): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_dlcx_not_active(444): pass (none -> pass) MTC@2ff368aacae4: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Tue Sep 10 12:13:03 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1876814) Waiting for packet dumper to finish... 1 (prev_count=1876814, count=1882635) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Tue Sep 10 12:13:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(448)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(448)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(448)@2ff368aacae4: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(446)@2ff368aacae4: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(445)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(447)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(445): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(446): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(447): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_twice_not_active(448): pass (none -> pass) MTC@2ff368aacae4: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Tue Sep 10 12:13:09 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1883847) Waiting for packet dumper to finish... 1 (prev_count=1883847, count=1884342) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Tue Sep 10 12:13:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(452)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(452)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(452)@2ff368aacae4: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450)@2ff368aacae4: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(451)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(449): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(450): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(451): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(452): pass (none -> pass) MTC@2ff368aacae4: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Tue Sep 10 12:13:14 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885246) Waiting for packet dumper to finish... 1 (prev_count=1885246, count=1885741) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Tue Sep 10 12:13:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456)@2ff368aacae4: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454)@2ff368aacae4: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(453): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(454): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(456): pass (none -> pass) MTC@2ff368aacae4: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Tue Sep 10 12:13:20 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892644) Waiting for packet dumper to finish... 1 (prev_count=1892644, count=1893139) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Tue Sep 10 12:13:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(460)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(460)@2ff368aacae4: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active(461)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(461)@2ff368aacae4: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(458)@2ff368aacae4: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(457)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(459)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(457): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(458): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(459): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(460): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(461): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Tue Sep 10 12:13:26 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1895752) Waiting for packet dumper to finish... 1 (prev_count=1895752, count=1896247) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Tue Sep 10 12:13:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(465)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(465)@2ff368aacae4: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(465)@2ff368aacae4: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@2ff368aacae4: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(466)@2ff368aacae4: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(466)@2ff368aacae4: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(463)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@2ff368aacae4: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(462)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(462): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(463): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_ack_addr(465): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@2ff368aacae4: Local verdict of PTC TC_ipa_crcx_ack_addr(466): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@2ff368aacae4: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Tue Sep 10 12:13:31 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1897130) Waiting for packet dumper to finish... 1 (prev_count=1897130, count=1897625) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Tue Sep 10 12:13:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: Rx LOAD_IND MTC@2ff368aacae4: num_paging_sent=679 rcvd_msgs=270 rcvd_ids=537 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(468)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(469)@2ff368aacae4: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(467)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(467): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(468): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(469): none (pass -> pass) MTC@2ff368aacae4: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Tue Sep 10 12:14:10 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32375433) Waiting for packet dumper to finish... 1 (prev_count=32375433, count=32375928) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Tue Sep 10 12:14:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL(471)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL(471)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(471)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(471)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_act_req-RSL(471)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(471)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(471)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_act_req-RSL(471)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(472)@2ff368aacae4: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(470)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req-RSL-IPA(470): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req-RSL(471): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(472): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_act_req finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Tue Sep 10 12:14:19 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3270535) Waiting for packet dumper to finish... 1 (prev_count=3270535, count=3271286) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Tue Sep 10 12:14:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(474)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(475)@2ff368aacae4: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(473)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(473): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(474): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(475): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Tue Sep 10 12:14:30 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4600888) Waiting for packet dumper to finish... 1 (prev_count=4600888, count=4601383) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Tue Sep 10 12:14:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(477)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(478)@2ff368aacae4: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(476)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(476): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(477): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(478): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Tue Sep 10 12:14:42 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4624920) Waiting for packet dumper to finish... 1 (prev_count=4624920, count=4625415) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Tue Sep 10 12:14:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(480)@2ff368aacae4: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(479)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(481)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(479): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(480): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(481): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Tue Sep 10 12:14:54 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4621016) Waiting for packet dumper to finish... 1 (prev_count=4621016, count=4626400) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Tue Sep 10 12:14:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL(483)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL(483)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(483)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req-RSL(483)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL(483)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL(483)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL(483)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(483)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(483)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(483)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(483)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(484)@2ff368aacae4: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(482)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(482): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_deact_req-RSL(483): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(484): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_deact_req finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Tue Sep 10 12:15:07 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5564800) Waiting for packet dumper to finish... 1 (prev_count=5564800, count=5565295) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Tue Sep 10 12:15:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(486)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(487)@2ff368aacae4: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(485)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(485): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(486): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(487): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Tue Sep 10 12:15:17 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3706450) Waiting for packet dumper to finish... 1 (prev_count=3706450, count=3707073) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Tue Sep 10 12:15:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL(489)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL(489)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(489)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(490)@2ff368aacae4: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(488)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(488): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ver_si1-RSL(489): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(490): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Tue Sep 10 12:15:22 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1879251) Waiting for packet dumper to finish... 1 (prev_count=1879251, count=1879746) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Tue Sep 10 12:15:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL(492)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(492)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(493)@2ff368aacae4: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(491)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(491): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ver_si3-RSL(492): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(493): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Tue Sep 10 12:15:28 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1883219) Waiting for packet dumper to finish... 1 (prev_count=1883219, count=1888603) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Tue Sep 10 12:15:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(495)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(496)@2ff368aacae4: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(494)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(494): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ver_si13-RSL(495): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(496): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Tue Sep 10 12:15:34 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885262) Waiting for packet dumper to finish... 1 (prev_count=1885262, count=1890646) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Tue Sep 10 12:15:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: Established a new IPA connection (conn_id=4) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(498)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(497)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(499)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(497): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(498): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(499): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Tue Sep 10 12:15:42 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4597915) Waiting for packet dumper to finish... 1 (prev_count=4597915, count=4598410) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Tue Sep 10 12:15:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(501)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(502)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(500)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(500): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(501): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(502): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Tue Sep 10 12:15:51 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5220581) Waiting for packet dumper to finish... 1 (prev_count=5220581, count=5221076) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Tue Sep 10 12:15:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(504)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(505)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(503)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(503): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(504): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(505): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Tue Sep 10 12:16:05 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9558275) Waiting for packet dumper to finish... 1 (prev_count=9558275, count=9558770) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Tue Sep 10 12:16:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(507)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(506)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(508)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(506): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(507): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(508): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Tue Sep 10 12:16:19 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9573738) Waiting for packet dumper to finish... 1 (prev_count=9573738, count=9574233) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Tue Sep 10 12:16:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL-IPA(509)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL(510)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(511)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(509): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(510): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(511): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Tue Sep 10 12:16:32 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9567143) Waiting for packet dumper to finish... 1 (prev_count=9567143, count=9567638) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Tue Sep 10 12:16:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(513)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(514)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(512)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(512): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(513): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(514): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Tue Sep 10 12:16:43 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6265234) Waiting for packet dumper to finish... 1 (prev_count=6265234, count=6271055) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Tue Sep 10 12:16:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(516)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL(516)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(516)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(516)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(516)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(516)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 792, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 112, qta := 0, fn := 818, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 844, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 115, qta := 0, fn := 870, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 112, qta := 0, fn := 896, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 123, qta := 0, fn := 922, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 123, qta := 0, fn := 948, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 122, qta := 0, fn := 974, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 124, qta := 0, fn := 1000, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 1026, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 1052, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 1078, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 124, qta := 0, fn := 1104, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 1130, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 115, qta := 0, fn := 1156, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending an Access Burst towards the L1CTL interface MTC@2ff368aacae4: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 123, qta := 0, fn := 1182, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Sending a PTCCH/D block towards the PCU interface: 'F4ED4EDAB936D00C26C2EFCCF9D41CC62EC3EC88595101'O MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_ptcch-RSL(516)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(517)@2ff368aacae4: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(515)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(515): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ptcch-RSL(516): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(517): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_ptcch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass'. Tue Sep 10 12:16:54 UTC 2024 ====== BTS_Tests.TC_pcu_ptcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7179610) Waiting for packet dumper to finish... 1 (prev_count=7179610, count=7180105) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Tue Sep 10 12:16:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(519)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(520)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(518)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(518): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_agch-RSL(519): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(520): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Tue Sep 10 12:17:03 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4582013) Waiting for packet dumper to finish... 1 (prev_count=4582013, count=4587834) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Tue Sep 10 12:17:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(522)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(523)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(521)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(521): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_pch-RSL(522): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(523): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Tue Sep 10 12:17:12 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4583360) Waiting for packet dumper to finish... 1 (prev_count=4583360, count=4583855) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Tue Sep 10 12:17:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: IMM.ASS was sent on PCH MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(525)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(524)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(526)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(524): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(525): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(526): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Tue Sep 10 12:17:17 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2102794) Waiting for packet dumper to finish... 1 (prev_count=2102794, count=2103289) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Tue Sep 10 12:17:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: IMM.ASS was sent on AGCH MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(528)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(527)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(529)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(527): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(528): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(529): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Tue Sep 10 12:17:23 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2061220) Waiting for packet dumper to finish... 1 (prev_count=2061220, count=2067041) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Tue Sep 10 12:17:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(531)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(531)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(532)@2ff368aacae4: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(530)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(530): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rach_content-RSL(531): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(532): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_rach_content finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Tue Sep 10 12:17:37 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10853585) Waiting for packet dumper to finish... 1 (prev_count=10853585, count=10854080) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Tue Sep 10 12:17:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(534)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(535)@2ff368aacae4: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(533)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(533): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_ext_rach_content-RSL(534): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(535): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Tue Sep 10 12:17:52 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10858905) Waiting for packet dumper to finish... 1 (prev_count=10858905, count=10859400) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Tue Sep 10 12:17:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=-256 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=-256) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=-128 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=-128) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=0 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=0) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=128 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=128) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=256 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=256) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=384 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=384) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=512 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=512) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=640 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=640) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=768 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=768) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=896 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=896) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=1024 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=1024) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=1152 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=1152) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Testing C/I=1280 cB MTC@2ff368aacae4: Rx PCUIF_DATA.ind (lqual_cb=1280) MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_ind_lqual_cb-RSL(537)@2ff368aacae4: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(536)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(538)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(536): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(537): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(538): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass'. Tue Sep 10 12:18:01 UTC 2024 ====== BTS_Tests.TC_pcu_data_ind_lqual_cb pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5079161) Waiting for packet dumper to finish... 1 (prev_count=5079161, count=5079784) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Tue Sep 10 12:18:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(540)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(541)@2ff368aacae4: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(539)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(539): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(540): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(541): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Tue Sep 10 12:18:07 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2036718) Waiting for packet dumper to finish... 1 (prev_count=2036718, count=2037213) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Tue Sep 10 12:18:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(543)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL(543)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL(543)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(543)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(543)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(543)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(543)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(543)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: 1070 fn expired with 248 PCU_TIME.ind MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(543)@2ff368aacae4: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(542)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(542): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_time_ind-RSL(543): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_time_ind finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Tue Sep 10 12:18:20 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5713612) Waiting for packet dumper to finish... 1 (prev_count=5713612, count=5714107) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Tue Sep 10 12:18:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL(546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL(546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL(546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL(546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL(546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL(546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_rts_req-RSL(546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(546)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: 1079 fn expired with num_rts_pdtch=250, num_rts_ptcch=10 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(546)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@2ff368aacae4: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(545)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(545): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rts_req-RSL(546): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_rts_req finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Tue Sep 10 12:18:34 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5728694) Waiting for packet dumper to finish... 1 (prev_count=5728694, count=5729189) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Tue Sep 10 12:18:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL(549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL(549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL(549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(550)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(551)@2ff368aacae4: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@2ff368aacae4: Test Component 551 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(551)@2ff368aacae4: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(549)@2ff368aacae4: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(548)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(548): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_oml_alert-RSL(549): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(551): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@2ff368aacae4: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Tue Sep 10 12:18:42 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3275771) Waiting for packet dumper to finish... 1 (prev_count=3275771, count=3276266) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Tue Sep 10 12:18:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(555)@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_rr_suspend(555)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(555)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(555)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(555)@2ff368aacae4: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(553)@2ff368aacae4: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(552)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(554)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(552): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rr_suspend-RSL(553): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(554): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_rr_suspend(555): pass (none -> pass) MTC@2ff368aacae4: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Tue Sep 10 12:18:48 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2277617) Waiting for packet dumper to finish... 1 (prev_count=2277617, count=2283001) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Tue Sep 10 12:18:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: BTS has accept()ed connection MTC@2ff368aacae4: BTS has close()d connection MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(557)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(556)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(558)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(556): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(557): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(558): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Tue Sep 10 12:18:54 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885040) Waiting for packet dumper to finish... 1 (prev_count=1885040, count=1885535) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Tue Sep 10 12:18:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(560)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(559)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(561)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(559): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_reconnect-RSL(560): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(561): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Tue Sep 10 12:19:02 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2789893) Waiting for packet dumper to finish... 1 (prev_count=2789893, count=2790388) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Tue Sep 10 12:19:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(563)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(564)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(562): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(563): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(564): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Tue Sep 10 12:19:10 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4299899) Waiting for packet dumper to finish... 1 (prev_count=4299899, count=4300394) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Tue Sep 10 12:19:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(566)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(567)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(565): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(566): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(567): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Tue Sep 10 12:19:18 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3665738) Waiting for packet dumper to finish... 1 (prev_count=3665738, count=3666233) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Tue Sep 10 12:19:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(569)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(570)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(568)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(568): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(569): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(570): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Tue Sep 10 12:19:26 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4308317) Waiting for packet dumper to finish... 1 (prev_count=4308317, count=4308812) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Tue Sep 10 12:19:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(572)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(573)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(571)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(571): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(572): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(573): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Tue Sep 10 12:19:34 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3671881) Waiting for packet dumper to finish... 1 (prev_count=3671881, count=3677265) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Tue Sep 10 12:19:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(575)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(576)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(574): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(575): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(576): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Tue Sep 10 12:19:43 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5132325) Waiting for packet dumper to finish... 1 (prev_count=5132325, count=5138146) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Tue Sep 10 12:19:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@2ff368aacae4: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(578)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(577): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(578): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Tue Sep 10 12:19:52 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5334586) Waiting for packet dumper to finish... 1 (prev_count=5334586, count=5335646) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Tue Sep 10 12:19:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(581)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(582)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(580)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(580): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(581): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(582): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Tue Sep 10 12:20:00 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2779836) Waiting for packet dumper to finish... 1 (prev_count=2779836, count=2780331) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Tue Sep 10 12:20:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(586)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(586)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(586)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(584)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(583)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(585)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(583): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(584): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(585): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(586): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Tue Sep 10 12:20:09 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3413513) Waiting for packet dumper to finish... 1 (prev_count=3413513, count=3418897) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Tue Sep 10 12:20:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(590)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(590)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(590)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL-IPA(587)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(589)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL(588)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(587): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(588): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(589): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_double_act(590): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Tue Sep 10 12:20:14 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1909732) Waiting for packet dumper to finish... 1 (prev_count=1909732, count=1910227) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Tue Sep 10 12:20:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact(594)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(594)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(594)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(592)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@2ff368aacae4: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(591)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(591): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(592): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(594): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Tue Sep 10 12:20:23 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3296605) Waiting for packet dumper to finish... 1 (prev_count=3296605, count=3302426) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Tue Sep 10 12:20:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack(598)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(598)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(598)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(597)@2ff368aacae4: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(595): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(596): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(597): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(598): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Tue Sep 10 12:20:28 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1918910) Waiting for packet dumper to finish... 1 (prev_count=1918910, count=1924294) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Tue Sep 10 12:20:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Checking timeslot #2 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #1 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #3 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #0 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #4 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Checking timeslot #6 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(600)@2ff368aacae4: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(599)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(601)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(599): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(600): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(601): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Tue Sep 10 12:20:34 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885030) Waiting for packet dumper to finish... 1 (prev_count=1885030, count=1885525) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Tue Sep 10 12:20:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv4-RSL(603)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(604)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(602)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(602): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(603): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(604): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Tue Sep 10 12:20:40 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1894989) Waiting for packet dumper to finish... 1 (prev_count=1894989, count=1895484) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Tue Sep 10 12:20:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(606)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(605)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(607)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(605): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(606): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(607): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Tue Sep 10 12:20:45 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1896150) Waiting for packet dumper to finish... 1 (prev_count=1896150, count=1896645) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Tue Sep 10 12:20:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(609)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@2ff368aacae4: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(608)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(608): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(609): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Tue Sep 10 12:20:51 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1912365) Waiting for packet dumper to finish... 1 (prev_count=1912365, count=1912860) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Tue Sep 10 12:20:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Warning: Re-starting timer T, which is already active (running or expired). MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(612)@2ff368aacae4: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(611)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(613)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(611): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_pcu_interf_ind-RSL(612): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(613): none (pass -> pass) MTC@2ff368aacae4: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Tue Sep 10 12:21:02 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5811481) Waiting for packet dumper to finish... 1 (prev_count=5811481, count=5811976) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Tue Sep 10 12:21:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(617)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(617)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(615)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(616)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(614): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(615): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(616): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(617): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Tue Sep 10 12:21:07 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1904435) Waiting for packet dumper to finish... 1 (prev_count=1904435, count=1904930) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Tue Sep 10 12:21:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(621)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(621)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(621)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(619)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(620)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(618): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(619): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(620): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(621): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Tue Sep 10 12:21:13 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1913128) Waiting for packet dumper to finish... 1 (prev_count=1913128, count=1914060) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Tue Sep 10 12:21:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(625)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(625)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(625)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(623)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(624)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(622): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(623): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(624): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(625): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Tue Sep 10 12:21:18 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1928096) Waiting for packet dumper to finish... 1 (prev_count=1928096, count=1933480) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Tue Sep 10 12:21:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(629)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(627)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(628)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(626): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(627): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(628): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(629): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Tue Sep 10 12:21:24 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1999694) Waiting for packet dumper to finish... 1 (prev_count=1999694, count=2000189) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Tue Sep 10 12:21:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(633)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(634)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(633)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(634)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(633)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(634)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(631)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(632)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(630): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(631): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(632): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(633): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(634): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Tue Sep 10 12:21:31 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2766528) Waiting for packet dumper to finish... 1 (prev_count=2766528, count=2767023) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Tue Sep 10 12:21:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(638)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(645)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(643)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(643)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(645)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(645)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(644)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(644)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(637)@2ff368aacae4: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(635): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(636): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(637): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(638): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(639): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(640): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(641): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(642): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(643): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(644): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(645): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Tue Sep 10 12:21:38 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2839435) Waiting for packet dumper to finish... 1 (prev_count=2839435, count=2844819) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Tue Sep 10 12:21:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act(649)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(649)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(649)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(647)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(648)@2ff368aacae4: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(646): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(647): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(648): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(649): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Tue Sep 10 12:21:44 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1921867) Waiting for packet dumper to finish... 1 (prev_count=1921867, count=1922362) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Tue Sep 10 12:21:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@2ff368aacae4: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653)@2ff368aacae4: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(652)@2ff368aacae4: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(650): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(651): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(652): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(653): pass (none -> pass) MTC@2ff368aacae4: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Tue Sep 10 12:21:50 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1918265) Waiting for packet dumper to finish... 1 (prev_count=1918265, count=1918760) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Tue Sep 10 12:21:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(655)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(655)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(655)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL(655)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(655)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL(655)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(655)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(657)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(657)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(658)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(658)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(659)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(659)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(660)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(660)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(661)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(661)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(662)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(662)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(663)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(663)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(664)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(664)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(665)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(665)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(666)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(666)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(667)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(667)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(668)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(668)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(669)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(669)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(670)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(670)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(671)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(671)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(672)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(672)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(673)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(673)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(674)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(674)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(675)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(675)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(676)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(676)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(677)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(677)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(678)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(678)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(679)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(679)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(680)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(680)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(681)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(681)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(682)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(682)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(682)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(682)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(683)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(683)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(683)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(683)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(684)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_ind(684)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(684)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(684)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(655)@2ff368aacae4: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(654)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(656)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind-RSL-IPA(654): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind-RSL(655): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(656): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(657): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(668): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(669): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(670): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(671): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(672): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(673): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(674): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(675): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(676): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(677): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(678): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(679): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(680): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(681): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(682): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(683): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_ind(684): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_est_ind finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Tue Sep 10 12:22:37 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=43776453) Waiting for packet dumper to finish... 1 (prev_count=43776453, count=43776948) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Tue Sep 10 12:22:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(688)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(688)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_DCCH_3(689)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(689)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(689)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(689)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(689)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(690)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(690)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(690)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(690)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(690)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_DCCH_3(691)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(691)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(691)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(691)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(691)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(686)@2ff368aacae4: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(685)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(687)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(685): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(686): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(687): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_DCCH_3(688): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_DCCH_3(689): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_DCCH_3(690): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_DCCH_3(691): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Tue Sep 10 12:22:43 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2391162) Waiting for packet dumper to finish... 1 (prev_count=2391162, count=2396983) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Tue Sep 10 12:22:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(696)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(696)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(696)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(697)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(697)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(697)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(697)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(697)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(697)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_ACCH_3(698)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(698)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(698)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(698)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(698)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(693)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(694)@2ff368aacae4: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(692)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(692): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(693): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(694): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_ACCH_3(695): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_ACCH_3(696): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_ACCH_3(697): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_est_req_ACCH_3(698): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Tue Sep 10 12:22:51 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3639814) Waiting for packet dumper to finish... 1 (prev_count=3639814, count=3640309) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Tue Sep 10 12:22:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(703)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(704)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(704)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(704)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(704)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(705)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(700)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(701)@2ff368aacae4: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(699)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(699): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(700): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(701): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_0(702): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_0(703): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_0(704): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_0(705): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Tue Sep 10 12:22:58 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3120367) Waiting for packet dumper to finish... 1 (prev_count=3120367, count=3120862) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Tue Sep 10 12:23:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(710)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(711)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(711)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(711)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(711)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(712)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 IPA-CTRL-CLI-IPA(708)@2ff368aacae4: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL(707)@2ff368aacae4: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(706)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(706): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(707): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(708): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_3(709): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_3(710): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_3(711): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_DCCH_3(712): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Tue Sep 10 12:23:04 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3120660) Waiting for packet dumper to finish... 1 (prev_count=3120660, count=3121155) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Tue Sep 10 12:23:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(717)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(718)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(719)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_0-RSL(714)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(715)@2ff368aacae4: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(713)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(713): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(714): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(715): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_0(716): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_0(717): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_0(718): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_0(719): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass'. Tue Sep 10 12:23:17 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9333081) Waiting for packet dumper to finish... 1 (prev_count=9333081, count=9333576) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Tue Sep 10 12:23:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(724)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(725)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(726)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_3-RSL(721)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(722)@2ff368aacae4: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(720)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(720): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(721): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(722): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_3(723): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_3(724): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_3(725): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_ind_ACCH_3(726): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass'. Tue Sep 10 12:23:30 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9327031) Waiting for packet dumper to finish... 1 (prev_count=9327031, count=9327526) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Tue Sep 10 12:23:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(728)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(728)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_rel_req-RSL(728)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(728)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL(728)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(728)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(728)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(728)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(730)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(731)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(731)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(732)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(733)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(733)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(734)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(734)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(734)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(735)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(736)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(736)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(737)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(738)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(738)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(738)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(739)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(740)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(740)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(741)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(742)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(742)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(742)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(743)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(743)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(743)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(744)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(744)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(744)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(744)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(744)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(745)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(745)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(745)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_req-RSL(728)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(729)@2ff368aacae4: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(727)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req-RSL-IPA(727): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req-RSL(728): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(729): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(730): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(731): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(732): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(733): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(734): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(735): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(736): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(737): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(738): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(739): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(740): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(741): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(742): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(743): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(744): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_rel_req(745): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_rel_req finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass'. Tue Sep 10 12:23:55 UTC 2024 ====== BTS_Tests.TC_rll_rel_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20892807) Waiting for packet dumper to finish... 1 (prev_count=20892807, count=20893302) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Tue Sep 10 12:23:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(749)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(749)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(749)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(749)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(750)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(750)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(750)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(750)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(751)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(751)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(751)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(752)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(752)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(752)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(752)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(753)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(753)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(753)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(754)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(754)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(754)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(754)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(754)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(755)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(755)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(755)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(755)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(755)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '358C20BECB606F3A0CE7A7D536560B'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(756)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(756)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '358C20BECB606F3A0CE7A7D536560B'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(756)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(756)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(756)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(747)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(748)@2ff368aacae4: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(746)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(746): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(747): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(748): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(749): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(750): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(751): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(752): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(753): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(754): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(755): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_DCCH(756): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Tue Sep 10 12:24:02 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3063093) Waiting for packet dumper to finish... 1 (prev_count=3063093, count=3063588) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Tue Sep 10 12:24:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(764)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(764)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(764)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(764)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(765)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(766)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '4FDAAA822078F57FB6B2853CD3B9200A03AE79'O } } TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(767)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(758)@2ff368aacae4: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(757)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(759)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(757): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(758): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(759): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(760): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(761): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(762): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(763): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(764): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(765): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(766): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_req_ACCH(767): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Tue Sep 10 12:24:11 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5508018) Waiting for packet dumper to finish... 1 (prev_count=5508018, count=5508513) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Tue Sep 10 12:24:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(771)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(771)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(772)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(772)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(773)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(773)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(774)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(774)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(775)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(775)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(776)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(776)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(776)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(776)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(777)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(777)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(777)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(777)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '75C3507D10967C1CD5693B33415632C372952AB4'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(778)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(778)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(778)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(778)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(769)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(770)@2ff368aacae4: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(768)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(768): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(769): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(770): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(771): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(772): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(773): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(774): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(775): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(776): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(777): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_DCCH(778): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Tue Sep 10 12:24:18 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3105860) Waiting for packet dumper to finish... 1 (prev_count=3105860, count=3111372) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Tue Sep 10 12:24:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(782)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(782)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(783)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(783)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(784)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(784)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(785)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(785)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(786)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(786)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(787)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(787)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(787)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(787)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(788)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(788)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(788)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(788)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '392378BF48D8AB1650C54A992A14DFEC8E03'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(789)@2ff368aacae4: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(789)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(789)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(789)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(780)@2ff368aacae4: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(779)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(781)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(779): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(780): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(781): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(782): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(783): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(784): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(785): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(786): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(787): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(788): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rll_unit_data_ind_ACCH(789): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Tue Sep 10 12:24:31 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9337922) Waiting for packet dumper to finish... 1 (prev_count=9337922, count=9338417) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Tue Sep 10 12:24:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(791)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL(791)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(791)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(791)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL(791)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(791)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(791)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(791)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(793)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a51(793)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(793)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a51(793)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a51(794)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a51(794)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a51(794)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(794)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(795)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a51(795)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a51(795)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(795)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a51(796)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a51(796)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a51(796)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(796)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(791)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(790)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(792)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a51-RSL-IPA(790): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a51-RSL(791): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(792): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a51(793): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a51(794): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a51(795): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a51(796): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_chan_act_a51 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Tue Sep 10 12:24:37 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2682039) Waiting for packet dumper to finish... 1 (prev_count=2682039, count=2682534) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Tue Sep 10 12:24:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL(798)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(798)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(798)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(798)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL(798)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(798)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL(798)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(798)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL(798)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(798)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(800)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a52(800)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(800)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a52(800)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a52(801)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a52(801)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a52(801)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(801)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(802)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a52(802)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a52(802)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(802)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a52(803)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a52(803)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(803)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a52(803)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a52(803)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(803)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(798)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(799)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(797)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a52-RSL-IPA(797): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a52-RSL(798): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(799): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a52(800): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a52(801): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a52(802): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a52(803): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_chan_act_a52 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Tue Sep 10 12:24:44 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2903310) Waiting for packet dumper to finish... 1 (prev_count=2903310, count=2903805) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Tue Sep 10 12:24:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(805)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(805)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_a53-RSL(805)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL(805)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL(805)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(805)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(805)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(805)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(807)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a53(807)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(807)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a53(807)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a53(808)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a53(808)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a53(808)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(808)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(809)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a53(809)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a53(809)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(809)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a53(810)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a53(810)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(810)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a53(810)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a53(810)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(810)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(805)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(806)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(804)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a53-RSL-IPA(804): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a53-RSL(805): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(806): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a53(807): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a53(808): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a53(809): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a53(810): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_chan_act_a53 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Tue Sep 10 12:24:51 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2901492) Waiting for packet dumper to finish... 1 (prev_count=2901492, count=2901987) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Tue Sep 10 12:24:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(812)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_chan_act_a54-RSL(812)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL(812)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(812)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(812)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(812)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(812)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(812)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(814)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a54(814)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(814)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a54(814)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a54(815)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a54(815)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a54(815)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(815)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(816)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a54(816)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a54(816)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(816)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a54(817)@2ff368aacae4: setverdict(pass): none -> pass TC_chan_act_a54(817)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(817)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a54(817)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '8131A043009988A094EE941211944E2D7A80803B'O, padding := ''O } } TC_chan_act_a54(817)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(817)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(812)@2ff368aacae4: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(811)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(813)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a54-RSL-IPA(811): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a54-RSL(812): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(813): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a54(814): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a54(815): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a54(816): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_chan_act_a54(817): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_chan_act_a54 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Tue Sep 10 12:24:57 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2900038) Waiting for packet dumper to finish... 1 (prev_count=2900038, count=2900533) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Tue Sep 10 12:25:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL(819)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a51-RSL(819)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(822)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(823)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a51(824)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(824)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(824)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(824)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(824)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(819)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(820)@2ff368aacae4: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(818)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(818): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a51-RSL(819): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(820): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a51(821): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a51(822): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a51(823): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a51(824): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Tue Sep 10 12:25:06 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4848181) Waiting for packet dumper to finish... 1 (prev_count=4848181, count=4848676) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Tue Sep 10 12:25:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL(826)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(829)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(830)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a52(831)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(831)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(831)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(831)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(831)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(826)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(827)@2ff368aacae4: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(825)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(825): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a52-RSL(826): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(827): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a52(828): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a52(829): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a52(830): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a52(831): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Tue Sep 10 12:25:15 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4855393) Waiting for packet dumper to finish... 1 (prev_count=4855393, count=4855888) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Tue Sep 10 12:25:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(833)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(836)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(837)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a53(838)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(838)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(838)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(838)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(838)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(833)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(834)@2ff368aacae4: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(832)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(832): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a53-RSL(833): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(834): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a53(835): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a53(836): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a53(837): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a53(838): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Tue Sep 10 12:25:23 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4852056) Waiting for packet dumper to finish... 1 (prev_count=4852056, count=4857877) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Tue Sep 10 12:25:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL(840)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(843)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(844)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): none -> pass TC_encr_cmd_a54(845)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(845)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(845)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(845)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '2D7A80803B9A5CBAC312E230266D21'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(845)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(840)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(841)@2ff368aacae4: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(839)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(839): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a54-RSL(840): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(841): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a54(842): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a54(843): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a54(844): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_encr_cmd_a54(845): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Tue Sep 10 12:25:32 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4852447) Waiting for packet dumper to finish... 1 (prev_count=4852447, count=4853070) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Tue Sep 10 12:25:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(849)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL-IPA(846)@2ff368aacae4: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL(847)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(848)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(846): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(847): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(848): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_mdisc(849): none (pass -> pass) MTC@2ff368aacae4: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Tue Sep 10 12:25:38 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1893891) Waiting for packet dumper to finish... 1 (prev_count=1893891, count=1894386) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Tue Sep 10 12:25:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(853)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(854)@2ff368aacae4: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(854)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(851)@2ff368aacae4: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(850)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(852)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(850): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(851): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(852): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_msg_type(853): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_msg_type(854): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Tue Sep 10 12:25:43 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1915291) Waiting for packet dumper to finish... 1 (prev_count=1915291, count=1921112) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Tue Sep 10 12:25:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(858)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL(856)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(857)@2ff368aacae4: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(855)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(855): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(856): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(857): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_err_rep_wrong_sequence(858): none (pass -> pass) MTC@2ff368aacae4: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Tue Sep 10 12:25:49 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1889879) Waiting for packet dumper to finish... 1 (prev_count=1889879, count=1895263) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Tue Sep 10 12:25:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_lapdm_selftest started. MTC@2ff368aacae4: "ui_s0_empty": matched MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: "ui_s3_empty": matched MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: "sabm_s0_empty": matched MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: "sabm_s0_l3": matched MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: "rr_s0_7": matched MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: "I/0/0": matched MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: "I/7/0": matched MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: No PTCs were created. MTC@2ff368aacae4: Test case TC_lapdm_selftest finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Tue Sep 10 12:25:53 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=993543) Waiting for packet dumper to finish... 1 (prev_count=993543, count=1096135) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Tue Sep 10 12:25:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(862)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(863)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(863)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(864)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(864)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(865)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(865)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(866)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(866)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(867)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(867)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(868)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(868)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(869)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(869)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(870)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(870)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(871)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(871)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(872)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(872)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(873)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(873)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(874)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(874)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(875)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(875)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(876)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(876)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(877)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(877)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(878)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(878)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(879)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(879)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(879)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(879)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(880)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(880)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(880)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(880)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(881)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(881)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(881)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(881)@2ff368aacae4: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(860)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(861)@2ff368aacae4: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(859)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(859): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(860): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(861): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(863): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(864): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(865): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(866): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(867): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(868): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(869): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(870): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(871): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(872): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(873): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(874): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(875): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(876): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(877): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(878): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(879): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(880): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame(881): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Tue Sep 10 12:26:16 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17686523) Waiting for packet dumper to finish... 1 (prev_count=17686523, count=17687018) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Tue Sep 10 12:26:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(885)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(885)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(885)@2ff368aacae4: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(886)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(886)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(886)@2ff368aacae4: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(887)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(887)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(887)@2ff368aacae4: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(888)@2ff368aacae4: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(888)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(888)@2ff368aacae4: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(883)@2ff368aacae4: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(884)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(882): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(883): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(884): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(885): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(886): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(887): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@2ff368aacae4: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(888): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@2ff368aacae4: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Tue Sep 10 12:26:22 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2858772) Waiting for packet dumper to finish... 1 (prev_count=2858772, count=2859267) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Tue Sep 10 12:26:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chopped_ipa_ping started. 889@2ff368aacae4: sending byte '00'O 889@2ff368aacae4: sending byte '01'O 889@2ff368aacae4: sending byte 'FE'O 889@2ff368aacae4: sending byte '00'O 889@2ff368aacae4: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 889@2ff368aacae4: received pong from "172.18.0.20" port 35033: '0001FE01'O 889@2ff368aacae4: setverdict(pass): none -> pass 889@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC with component reference 889: pass (none -> pass) MTC@2ff368aacae4: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Tue Sep 10 12:26:52 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11889556) Waiting for packet dumper to finish... 1 (prev_count=11889556, count=11890051) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Tue Sep 10 12:26:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_chopped_ipa_payload started. 890@2ff368aacae4: sending byte '04'O 890@2ff368aacae4: sending byte '01'O 890@2ff368aacae4: sending byte '08'O ("\b") 890@2ff368aacae4: sending byte '01'O 890@2ff368aacae4: sending byte '07'O ("\a") 890@2ff368aacae4: sending byte '01'O 890@2ff368aacae4: sending byte '02'O 890@2ff368aacae4: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 890@2ff368aacae4: received IPA message from "172.18.0.20" port 34887: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A30303A313400000202000001FE06'O 890@2ff368aacae4: setverdict(pass): none -> pass 890@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC with component reference 890: pass (none -> pass) MTC@2ff368aacae4: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Tue Sep 10 12:27:39 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20320330) Waiting for packet dumper to finish... 1 (prev_count=20320330, count=20325714) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Tue Sep 10 12:27:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@2ff368aacae4: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(895)@2ff368aacae4: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(896)@2ff368aacae4: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(897)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(892)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(893)@2ff368aacae4: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(891)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(891): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(892): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(893): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_constant(894): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_constant(895): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_constant(896): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_constant(897): pass (fail -> fail) MTC@2ff368aacae4: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Tue Sep 10 12:28:00 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17128949) Waiting for packet dumper to finish... 1 (prev_count=17128949, count=17129444) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Tue Sep 10 12:28:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@2ff368aacae4: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(902)@2ff368aacae4: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(903)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(904)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(899)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(900)@2ff368aacae4: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(898): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(899): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(900): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(901): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(902): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(903): pass (fail -> fail) MTC@2ff368aacae4: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(904): pass (fail -> fail) MTC@2ff368aacae4: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Tue Sep 10 12:28:35 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32070127) Waiting for packet dumper to finish... 1 (prev_count=32070127, count=32070750) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Tue Sep 10 12:28:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(908)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(908)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(908)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(908)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(908)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(908)@2ff368aacae4: Final verdict of PTC: pass TC_speech_no_rtp_tchf(909)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(909)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(909)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@2ff368aacae4: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(909)@2ff368aacae4: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(909)@2ff368aacae4: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(909)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(909)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(909)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(909)@2ff368aacae4: Final verdict of PTC: pass TC_speech_no_rtp_tchf(910)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(910)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(910)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1499, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1503, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1508, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1512, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(910)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(910)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(910)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(906)@2ff368aacae4: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(905)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(907)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(905): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(906): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(907): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchf(908): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchf(909): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchf(910): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Tue Sep 10 12:28:48 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9409774) Waiting for packet dumper to finish... 1 (prev_count=9409774, count=9410269) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Tue Sep 10 12:28:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(914)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(914)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(914)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(914)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(914)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(914)@2ff368aacae4: Final verdict of PTC: pass TC_speech_no_rtp_tchh(915)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(915)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(915)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@2ff368aacae4: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1044, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(915)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(915)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(915)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(912)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(913)@2ff368aacae4: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(911)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(911): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(912): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(913): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchh(914): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_no_rtp_tchh(915): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Tue Sep 10 12:28:57 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6623940) Waiting for packet dumper to finish... 1 (prev_count=6623940, count=6624435) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Tue Sep 10 12:29:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(919)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(919)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_rtp_tchf(919)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(919)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@2ff368aacae4: TCH received (len=33): 'D08131A0430099FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@2ff368aacae4: TCH received (len=33): 'D08131A0430099FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@2ff368aacae4: TCH received (len=33): 'D08131A0430099FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(919)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(919)@2ff368aacae4: Final verdict of PTC: pass TC_speech_rtp_tchf(921)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(921)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_rtp_tchf(921)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(921)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@2ff368aacae4: TCH received (len=31): 'C08131A0430099000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@2ff368aacae4: TCH received (len=31): 'C08131A0430099000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@2ff368aacae4: TCH received (len=31): 'C08131A0430099000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(921)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(921)@2ff368aacae4: Final verdict of PTC: pass TC_speech_rtp_tchf(923)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(923)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_rtp_tchf(923)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(923)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchf(923)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchf(923)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchf(923)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(923)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(917)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(920)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(922)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(924)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(916)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(918)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(916): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf-RSL(917): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(918): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf(919): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(920): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf(921): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(922): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf(923): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(924): none (pass -> pass) MTC@2ff368aacae4: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Tue Sep 10 12:29:09 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9278318) Waiting for packet dumper to finish... 1 (prev_count=9278318, count=9278813) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Tue Sep 10 12:29:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(928)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(928)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_rtp_tchh(928)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(928)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@2ff368aacae4: TCH received (len=15): '008131A04300990000000000000000'O TC_speech_rtp_tchh(928)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@2ff368aacae4: TCH received (len=15): '008131A04300990000000000000000'O TC_speech_rtp_tchh(928)@2ff368aacae4: TCH received (len=15): '008131A04300990000000000000000'O TC_speech_rtp_tchh(928)@2ff368aacae4: TCH received (len=15): '008131A04300990000000000000000'O TC_speech_rtp_tchh(928)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(928)@2ff368aacae4: Final verdict of PTC: pass TC_speech_rtp_tchh(930)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(930)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_rtp_tchh(930)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(930)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchh(930)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchh(930)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchh(930)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_rtp_tchh(930)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(930)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(926)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(931)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(925)@2ff368aacae4: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(929)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(927)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(925): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchh-RSL(926): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(927): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchh(928): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(929): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchh(930): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(931): none (pass -> pass) MTC@2ff368aacae4: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Tue Sep 10 12:29:19 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6613584) Waiting for packet dumper to finish... 1 (prev_count=6613584, count=6614079) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Tue Sep 10 12:29:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(935)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_osmux_tchf(935)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(935)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: got '8131A0430099000000000000000000'O vs exp '8131A0430099000000000000000000'O TC_speech_osmux_tchf(935)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(935)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(933)@2ff368aacae4: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(936)@2ff368aacae4: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(932)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(934)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(932): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchf-RSL(933): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(934): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchf(935): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(936): none (pass -> pass) MTC@2ff368aacae4: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Tue Sep 10 12:29:27 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4424874) Waiting for packet dumper to finish... 1 (prev_count=4424874, count=4425369) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Tue Sep 10 12:29:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh(940)@2ff368aacae4: setverdict(pass): none -> pass TC_speech_osmux_tchh(940)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(940)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: TCH received (len=17): '20148131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: got '8131A0430099000000000000000000'O vs exp '8131A0430099000000000000000000'O TC_speech_osmux_tchh(940)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(940)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(938)@2ff368aacae4: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(941)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(939)@2ff368aacae4: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(937)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(937): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchh-RSL(938): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(939): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchh(940): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(941): none (pass -> pass) MTC@2ff368aacae4: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Tue Sep 10 12:29:35 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4298989) Waiting for packet dumper to finish... 1 (prev_count=4298989, count=4299484) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Tue Sep 10 12:29:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(945)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(945)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf144(945)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(946)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(945)@2ff368aacae4: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(945)@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@2ff368aacae4: Test Component 945 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL(943)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf144(945)@2ff368aacae4: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RSL-IPA(942)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(944)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(946)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(942): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf144-RSL(943): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(944): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf144(945): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(946): none (fail -> fail) MTC@2ff368aacae4: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Tue Sep 10 12:29:40 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1914747) Waiting for packet dumper to finish... 1 (prev_count=1914747, count=1915242) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Tue Sep 10 12:29:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(950)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(950)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf96(950)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(951)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(950)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(950)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(950)@2ff368aacae4: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(950)@2ff368aacae4: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(950)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(951)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(950)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(950)@2ff368aacae4: Final verdict of PTC: pass TC_data_rtp_tchf96(952)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(952)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf96(952)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(953)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(952)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(952)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(952)@2ff368aacae4: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(952)@2ff368aacae4: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(952)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(953)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(952)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(952)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(948)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(953)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(951)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(947)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(949)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(947): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf96-RSL(948): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(949): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf96(950): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(951): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf96(952): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(953): none (pass -> pass) MTC@2ff368aacae4: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Tue Sep 10 12:29:47 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2896938) Waiting for packet dumper to finish... 1 (prev_count=2896938, count=2897433) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Tue Sep 10 12:29:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(957)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(957)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf48(957)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(958)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(957)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(957)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(957)@2ff368aacae4: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(957)@2ff368aacae4: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(957)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(958)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(957)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(957)@2ff368aacae4: Final verdict of PTC: pass TC_data_rtp_tchf48(959)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(959)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf48(959)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(960)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(959)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(959)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(959)@2ff368aacae4: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000100010000000000000000000000000000000000000101000000000000000000010000000000000000000000000000000000000000010000010000000000000000000000000000000100000001000100000000000100010000010000000000000001000100010001010101010000010000010100010000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000100000001010100010100010101000000000000010000000000000000000001000100010100010100000101010100010100010000010001000000000000000000000100010000000100010001010000000101000101000000000101000101000100000101010000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '010000000101000000010100010101010000010001000000000000000100000100010000010001000001000100000101000101000100010000010000000000000000000000000000000100000001010000000101000101000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(959)@2ff368aacae4: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(959)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(960)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(959)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(959)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(955)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(956)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(960)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(958)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(954)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(954): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf48-RSL(955): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(956): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf48(957): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(958): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf48(959): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(960): none (pass -> pass) MTC@2ff368aacae4: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Tue Sep 10 12:29:53 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2843864) Waiting for packet dumper to finish... 1 (prev_count=2843864, count=2849685) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Tue Sep 10 12:29:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(964)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(964)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchh48(964)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(965)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(964)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(964)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(964)@2ff368aacae4: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(964)@2ff368aacae4: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(964)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(965)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(964)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(964)@2ff368aacae4: Final verdict of PTC: pass TC_data_rtp_tchh48(966)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(966)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchh48(966)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(967)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(966)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(966)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(966)@2ff368aacae4: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(966)@2ff368aacae4: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(966)@2ff368aacae4: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(966)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(967)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(966)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(966)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(962)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(961)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(965)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(963)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(967)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(961): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh48-RSL(962): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(963): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh48(964): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(965): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh48(966): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(967): none (pass -> pass) MTC@2ff368aacae4: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Tue Sep 10 12:30:00 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3109052) Waiting for packet dumper to finish... 1 (prev_count=3109052, count=3109547) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Tue Sep 10 12:30:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(971)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(971)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf24(971)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(972)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(971)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(971)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(971)@2ff368aacae4: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(971)@2ff368aacae4: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(971)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(972)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(971)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(971)@2ff368aacae4: Final verdict of PTC: pass TC_data_rtp_tchf24(973)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(973)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchf24(973)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(974)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(973)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(973)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(973)@2ff368aacae4: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(973)@2ff368aacae4: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(973)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(974)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(973)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(973)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(969)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(972)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(974)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(970)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(968)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(968): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf24-RSL(969): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(970): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf24(971): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(972): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf24(973): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(974): none (pass -> pass) MTC@2ff368aacae4: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Tue Sep 10 12:30:06 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2526903) Waiting for packet dumper to finish... 1 (prev_count=2526903, count=2527398) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Tue Sep 10 12:30:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(978)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(978)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchh24(978)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(979)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(978)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(978)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(978)@2ff368aacae4: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(978)@2ff368aacae4: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(978)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(979)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(978)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(978)@2ff368aacae4: Final verdict of PTC: pass TC_data_rtp_tchh24(980)@2ff368aacae4: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(980)@2ff368aacae4: setverdict(pass): none -> pass TC_data_rtp_tchh24(980)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(981)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(980)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(980)@2ff368aacae4: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(980)@2ff368aacae4: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(980)@2ff368aacae4: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(980)@2ff368aacae4: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(980)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(981)@2ff368aacae4: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(980)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(980)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(976)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(981)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(979)@2ff368aacae4: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(975)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(977)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(975): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh24-RSL(976): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(977): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh24(978): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(979): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh24(980): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(981): none (pass -> pass) MTC@2ff368aacae4: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Tue Sep 10 12:30:13 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3101912) Waiting for packet dumper to finish... 1 (prev_count=3101912, count=3102844) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Tue Sep 10 12:30:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(983)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(985)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(985)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(985)@2ff368aacae4: Received IMM.ASS for our RACH! TC_early_immediate_assignment(985)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(985)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(985)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(986)@2ff368aacae4: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(986)@2ff368aacae4: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(986)@2ff368aacae4: Received IMM.ASS for our RACH! TC_early_immediate_assignment(986)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(986)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(986)@2ff368aacae4: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(983)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(984)@2ff368aacae4: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(982)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(982): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_early_immediate_assignment-RSL(983): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(984): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_early_immediate_assignment(985): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Local verdict of PTC TC_early_immediate_assignment(986): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@2ff368aacae4: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Tue Sep 10 12:30:21 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3969481) Waiting for packet dumper to finish... 1 (prev_count=3969481, count=3969976) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Tue Sep 10 12:30:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 1, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[4] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[5] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 4, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[6] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 3, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Executing step[7] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(990)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":9085 TC_acch_overpower_rxqual_thresh-RSL(988)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(989)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(987)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(987): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(988): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(989): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_rxqual_thresh(990): pass (none -> pass) MTC@2ff368aacae4: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass'. Tue Sep 10 12:30:47 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16388785) Waiting for packet dumper to finish... 1 (prev_count=16388785, count=16389280) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Tue Sep 10 12:30:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '1'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(994)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":9126 TC_acch_overpower_rxqual_thresh_dtx-RSL(992)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(991): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(992): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(994): pass (none -> pass) MTC@2ff368aacae4: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass'. Tue Sep 10 12:31:05 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10112714) Waiting for packet dumper to finish... 1 (prev_count=10112714, count=10113209) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Tue Sep 10 12:31:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(998)@2ff368aacae4: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(998)@2ff368aacae4: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(998)@2ff368aacae4: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@2ff368aacae4: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@2ff368aacae4: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(998)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(998)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":9158 IPA-CTRL-CLI-IPA(997)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL(996)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(995)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(995): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(996): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(997): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_always_on_facch(998): pass (none -> pass) MTC@2ff368aacae4: Test case TC_acch_overpower_always_on_facch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass'. Tue Sep 10 12:31:22 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_facch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8552149) Waiting for packet dumper to finish... 1 (prev_count=8552149, count=8552644) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Tue Sep 10 12:31:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(1002)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":9190 TC_acch_overpower_always_on_sacch-RSL(1000)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1001)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(999)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(999): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(1000): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1001): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_always_on_sacch(1002): pass (none -> pass) MTC@2ff368aacae4: Test case TC_acch_overpower_always_on_sacch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass'. Tue Sep 10 12:31:38 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_sacch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8554332) Waiting for packet dumper to finish... 1 (prev_count=8554332, count=8559716) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Tue Sep 10 12:31:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(1006)@2ff368aacae4: RxLev(BCCH) := 30 TC_acch_overpower_limit(1006)@2ff368aacae4: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): none -> pass TC_acch_overpower_limit(1006)@2ff368aacae4: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@2ff368aacae4: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@2ff368aacae4: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1006)@2ff368aacae4: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1006)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":9221 IPA-CTRL-CLI-IPA(1005)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_limit-RSL(1004)@2ff368aacae4: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(1003)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(1003): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_limit-RSL(1004): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_acch_overpower_limit(1006): pass (none -> pass) MTC@2ff368aacae4: Test case TC_acch_overpower_limit finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass'. Tue Sep 10 12:31:55 UTC 2024 ====== BTS_Tests.TC_acch_overpower_limit pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8555083) Waiting for packet dumper to finish... 1 (prev_count=8555083, count=8555578) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass' was executed successfully (exit status: 0). MC@2ff368aacae4: Test execution finished. MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Tue Sep 10 12:31:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(1008)@2ff368aacae4: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(1007)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1009)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(1007): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(1008): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1009): none (pass -> pass) MTC@2ff368aacae4: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Tue Sep 10 12:32:10 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6442264) Waiting for packet dumper to finish... 1 (prev_count=6442264, count=6442759) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Tue Sep 10 12:32:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(1011)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1012)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1010): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(1011): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1012): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Tue Sep 10 12:32:29 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10106410) Waiting for packet dumper to finish... 1 (prev_count=10106410, count=10106905) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Tue Sep 10 12:32:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(1014)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1015)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1013): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(1014): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1015): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Tue Sep 10 12:32:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10079715) Waiting for packet dumper to finish... 1 (prev_count=10079715, count=10080210) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Tue Sep 10 12:32:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(1017)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1018)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1016): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(1017): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1018): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Tue Sep 10 12:33:06 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10056411) Waiting for packet dumper to finish... 1 (prev_count=10056411, count=10056906) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Tue Sep 10 12:33:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(1020)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1021)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1019): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1020): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1021): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Tue Sep 10 12:33:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10083492) Waiting for packet dumper to finish... 1 (prev_count=10083492, count=10083987) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Tue Sep 10 12:33:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@2ff368aacae4: Found block_nr 3 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1023)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1024)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1022): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1023): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1024): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Tue Sep 10 12:33:50 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15383051) Waiting for packet dumper to finish... 1 (prev_count=15383051, count=15383546) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Tue Sep 10 12:33:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1026)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1027)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1025): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1026): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1027): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Tue Sep 10 12:34:08 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10080624) Waiting for packet dumper to finish... 1 (prev_count=10080624, count=10086008) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Tue Sep 10 12:34:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1029)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1030)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1028): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1029): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1030): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Tue Sep 10 12:34:29 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11829710) Waiting for packet dumper to finish... 1 (prev_count=11829710, count=11835094) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Tue Sep 10 12:34:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1033)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1031): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1032): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1033): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Tue Sep 10 12:34:51 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12732263) Waiting for packet dumper to finish... 1 (prev_count=12732263, count=12732758) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Tue Sep 10 12:34:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1036)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1034): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1035): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1036): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Tue Sep 10 12:35:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20670181) Waiting for packet dumper to finish... 1 (prev_count=20670181, count=20670676) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Tue Sep 10 12:35:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1038)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1039)@2ff368aacae4: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1037)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1037): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1038): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1039): none (pass -> pass) MTC@2ff368aacae4: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Tue Sep 10 12:35:42 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7648903) Waiting for packet dumper to finish... 1 (prev_count=7648903, count=7649398) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Tue Sep 10 12:35:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1041)@2ff368aacae4: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1040)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1042)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1040): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1041): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1042): none (pass -> pass) MTC@2ff368aacae4: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Tue Sep 10 12:36:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8708174) Waiting for packet dumper to finish... 1 (prev_count=8708174, count=8708669) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Tue Sep 10 12:36:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_1block started. TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 27, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1044)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1045)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1043): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1044): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1045): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Tue Sep 10 12:36:23 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10046723) Waiting for packet dumper to finish... 1 (prev_count=10046723, count=10052544) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Tue Sep 10 12:36:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_2block started. TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1047)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1048)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1046): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1047): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1048): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Tue Sep 10 12:36:42 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10076477) Waiting for packet dumper to finish... 1 (prev_count=10076477, count=10076972) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Tue Sep 10 12:36:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_3block started. TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1050)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1051)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1049): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1050): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1051): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Tue Sep 10 12:37:00 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10085577) Waiting for packet dumper to finish... 1 (prev_count=10085577, count=10086072) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Tue Sep 10 12:37:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_4block started. TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1053)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1054)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1052): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1053): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1054): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Tue Sep 10 12:37:19 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10083381) Waiting for packet dumper to finish... 1 (prev_count=10083381, count=10083876) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Tue Sep 10 12:37:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_multi started. TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@2ff368aacae4: Found block_nr 3 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 1 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 2 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1056)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1057)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1055): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1056): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1057): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Tue Sep 10 12:37:44 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15401609) Waiting for packet dumper to finish... 1 (prev_count=15401609, count=15406993) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Tue Sep 10 12:37:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_schedule started. TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@2ff368aacae4: Found block_nr 3 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1059)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1060)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1058): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1059): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1060): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Tue Sep 10 12:38:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10090835) Waiting for packet dumper to finish... 1 (prev_count=10090835, count=10091330) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Tue Sep 10 12:38:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1062)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1063)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1061): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1062): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1063): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Tue Sep 10 12:38:23 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11845825) Waiting for packet dumper to finish... 1 (prev_count=11845825, count=11846757) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Tue Sep 10 12:38:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@2ff368aacae4: Found block_nr 0 of msg 0 MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@2ff368aacae4: Found block_nr 1 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@2ff368aacae4: Found block_nr 2 of msg 0 MTC@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 2 of DEFAULT/NULL MTC@2ff368aacae4: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 3 of DEFAULT/NULL MTC@2ff368aacae4: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@2ff368aacae4: Found block_nr 0 of DEFAULT/NULL MTC@2ff368aacae4: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@2ff368aacae4: Found block_nr 1 of DEFAULT/NULL MTC@2ff368aacae4: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065)@2ff368aacae4: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1066)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1064): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1065): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1066): none (pass -> pass) MTC@2ff368aacae4: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Tue Sep 10 12:38:45 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12757667) Waiting for packet dumper to finish... 1 (prev_count=12757667, count=12758162) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Tue Sep 10 12:38:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1068)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1069)@2ff368aacae4: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1067)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1067): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1068): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1069): none (pass -> pass) MTC@2ff368aacae4: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Tue Sep 10 12:39:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7673728) Waiting for packet dumper to finish... 1 (prev_count=7673728, count=7674223) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Tue Sep 10 12:39:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1071)@2ff368aacae4: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1070)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1072)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1070): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1071): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1072): none (pass -> pass) MTC@2ff368aacae4: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Tue Sep 10 12:39:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8721008) Waiting for packet dumper to finish... 1 (prev_count=8721008, count=8721503) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Tue Sep 10 12:39:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL(1074)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL(1074)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL(1074)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL(1074)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_etws_p1ro-RSL(1074)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1074)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1074)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1074)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1074)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Quantity of received ETWS PN segments: { 22, 21, 21, 21 } MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1074)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1075)@2ff368aacae4: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1073)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1073): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_etws_p1ro-RSL(1074): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1075): none (pass -> pass) MTC@2ff368aacae4: Test case TC_etws_p1ro finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Tue Sep 10 12:39:45 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11676068) Waiting for packet dumper to finish... 1 (prev_count=11676068, count=11676563) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Tue Sep 10 12:39:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1077)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1078)@2ff368aacae4: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1076)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1076): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_etws_p1ro_end-RSL(1077): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1078): none (pass -> pass) MTC@2ff368aacae4: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Tue Sep 10 12:40:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15198643) Waiting for packet dumper to finish... 1 (prev_count=15198643, count=15199138) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Tue Sep 10 12:40:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL(1080)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL(1080)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1080)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL(1080)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1080)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1080)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1080)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1080)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1081)@2ff368aacae4: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1079)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_etws_pcu-RSL-IPA(1079): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_etws_pcu-RSL(1080): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1081): none (pass -> pass) MTC@2ff368aacae4: Test case TC_etws_pcu finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Tue Sep 10 12:40:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1992355) Waiting for packet dumper to finish... 1 (prev_count=1992355, count=1992850) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@2ff368aacae4: Test execution finished. MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Tue Sep 10 12:40:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1086@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1085)@2ff368aacae4: setverdict(pass): none -> pass 1086@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1086@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1086@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1086@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1085)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1086@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1085)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1086@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1085)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1088@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1087)@2ff368aacae4: setverdict(pass): none -> pass 1088@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1088@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1088@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1088@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1087)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1088@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1087)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1088@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1087)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1090@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1089)@2ff368aacae4: setverdict(pass): none -> pass 1090@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1090@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1090@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1090@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1089)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1090@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1089)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1090@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1089)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1092@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1091)@2ff368aacae4: setverdict(pass): none -> pass 1092@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1091)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1092@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1091)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1092@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1091)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1083)@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1082)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1084)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1082): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1083): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1084): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1085): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1086: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1087): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1088: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1089): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1090: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1091): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Tue Sep 10 12:40:18 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3044967) Waiting for packet dumper to finish... 1 (prev_count=3044967, count=3045462) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Tue Sep 10 12:40:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1097@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1096)@2ff368aacae4: setverdict(pass): none -> pass 1097@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1097@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1097@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1097@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1096)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1097@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1096)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1097@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1096)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1099@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1098)@2ff368aacae4: setverdict(pass): none -> pass 1099@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1099@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1099@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1099@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1098)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1099@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1098)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1099@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1098)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1101@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1100)@2ff368aacae4: setverdict(pass): none -> pass 1101@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1101@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1101@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1101@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1100)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1101@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1100)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1101@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1100)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1103@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1102)@2ff368aacae4: setverdict(pass): none -> pass 1103@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1102)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1103@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1102)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1103@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1102)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094)@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1095)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1093): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1094): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1095): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1096): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1097: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1098): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1099: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1100): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1101: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1102): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Tue Sep 10 12:40:43 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22294745) Waiting for packet dumper to finish... 1 (prev_count=22294745, count=22300566) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Tue Sep 10 12:40:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1108@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1107)@2ff368aacae4: setverdict(pass): none -> pass 1108@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1108@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1108@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1108@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1108@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1107)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1108@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1107)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1110@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1109)@2ff368aacae4: setverdict(pass): none -> pass 1110@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1110@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1110@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1110@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1110@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1109)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1110@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1109)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_ua_dcch_sapi3(1111)@2ff368aacae4: setverdict(pass): none -> pass 1112@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1112@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1112@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1112@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1112@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1112@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1111)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1112@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1111)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1114@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1113)@2ff368aacae4: setverdict(pass): none -> pass 1114@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1114@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1113)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1114@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1113)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1105)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1106)@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1104)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1104): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1105): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1106): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1107): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1108: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1109): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1110: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1111): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1112: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1113): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1114: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Tue Sep 10 12:41:09 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22262860) Waiting for packet dumper to finish... 1 (prev_count=22262860, count=22263355) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Tue Sep 10 12:41:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1119@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1118)@2ff368aacae4: setverdict(pass): none -> pass 1119@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1119@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1119@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1119@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1119@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1118)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1119@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1118)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1121@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1120)@2ff368aacae4: setverdict(pass): none -> pass 1121@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1121@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1121@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1121@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1121@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1120)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1121@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1120)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1123@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1122)@2ff368aacae4: setverdict(pass): none -> pass 1123@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1123@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1123@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1123@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1123@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1122)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1123@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1122)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1125@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1124)@2ff368aacae4: setverdict(pass): none -> pass 1125@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1124)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1125@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1124)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1125@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1124)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1116)@2ff368aacae4: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1115)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1117)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1115): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1116): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1117): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1118): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1119: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1120): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1121: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1122): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1123: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1124): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Tue Sep 10 12:41:35 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22262298) Waiting for packet dumper to finish... 1 (prev_count=22262298, count=22262793) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Tue Sep 10 12:41:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1127)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL(1127)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1127)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL(1127)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1127)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1127)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1127)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1127)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1127)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1130@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1129)@2ff368aacae4: setverdict(pass): none -> pass 1130@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1130@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1130@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1130@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1130@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1129)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1130@2ff368aacae4: Final verdict of PTC: none TC_sabm_contention(1129)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1132@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1131)@2ff368aacae4: setverdict(pass): none -> pass 1132@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1132@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1132@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1132@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1132@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1131)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1132@2ff368aacae4: Final verdict of PTC: none TC_sabm_contention(1131)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1134@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1133)@2ff368aacae4: setverdict(pass): none -> pass 1134@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1134@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1134@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1134@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1134@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1133)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1134@2ff368aacae4: Final verdict of PTC: none TC_sabm_contention(1133)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1136@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1135)@2ff368aacae4: setverdict(pass): none -> pass 1136@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1136@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1135)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1136@2ff368aacae4: Final verdict of PTC: none TC_sabm_contention(1135)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1127)@2ff368aacae4: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1126)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1128)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_contention-RSL-IPA(1126): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_contention-RSL(1127): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1128): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_contention(1129): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1130: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_contention(1131): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1132: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_contention(1133): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1134: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_contention(1135): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_contention finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Tue Sep 10 12:42:01 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22250444) Waiting for packet dumper to finish... 1 (prev_count=22250444, count=22250939) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Tue Sep 10 12:42:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1141@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1140)@2ff368aacae4: setverdict(pass): none -> pass 1141@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1141@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1141@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1141@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1141@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1140)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1141@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit(1140)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1143@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1142)@2ff368aacae4: setverdict(pass): none -> pass 1143@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1143@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1143@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1143@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1143@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1142)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1143@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit(1142)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1145@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1144)@2ff368aacae4: setverdict(pass): none -> pass 1145@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1145@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1145@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1145@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1145@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1144)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1145@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit(1144)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1147@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1146)@2ff368aacae4: setverdict(pass): none -> pass 1147@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1146)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1147@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1146)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1147@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit(1146)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1138)@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1137)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1139)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1137): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit-RSL(1138): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1139): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit(1140): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1141: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit(1142): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1143: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit(1144): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1145: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit(1146): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_retransmit finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Tue Sep 10 12:42:09 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4197881) Waiting for packet dumper to finish... 1 (prev_count=4197881, count=4203265) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Tue Sep 10 12:42:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1152@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1151)@2ff368aacae4: setverdict(pass): none -> pass 1152@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1152@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1152@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1152@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1151)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1152@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1151)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1152@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit_bts(1151)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1154@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1153)@2ff368aacae4: setverdict(pass): none -> pass 1154@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1154@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1154@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1154@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1153)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1154@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1153)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1154@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit_bts(1153)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1156@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1155)@2ff368aacae4: setverdict(pass): none -> pass 1156@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1155)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1156@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1155)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1156@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit_bts(1155)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1158@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1157)@2ff368aacae4: setverdict(pass): none -> pass 1158@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1158@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1158@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1158@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1157)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1158@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1157)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1158@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit_bts(1157)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1149)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1150)@2ff368aacae4: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1148)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1148): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1149): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1150): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit_bts(1151): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1152: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit_bts(1153): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1154: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit_bts(1155): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_retransmit_bts(1157): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1158: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Tue Sep 10 12:43:35 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=82633965) Waiting for packet dumper to finish... 1 (prev_count=82633965, count=82634460) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Tue Sep 10 12:43:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1163@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): none -> pass 1163@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1163@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1163@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1163@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1163@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1163@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1164@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1164@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1164@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1164@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1164@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1164@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1164@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1165@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1165@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1165@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1165@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1165@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1165@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1162)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1165@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1162)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1167@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): none -> pass 1167@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1167@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1167@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1167@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1167@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1167@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1168@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1168@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1168@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1168@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1169@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1169@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1169@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1169@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1169@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1169@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1166)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1169@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1166)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1171@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): none -> pass 1171@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1171@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1171@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1171@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1171@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1171@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1172@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1172@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1172@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1172@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1172@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1172@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1172@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1173@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1173@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1173@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1173@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1173@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1173@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1170)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1173@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1170)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1175@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): none -> pass 1175@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1175@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1175@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1175@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1175@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1175@2ff368aacae4: Final verdict of PTC: none 1176@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1176@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1176@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1176@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1176@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1176@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1176@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1177@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1177@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1177@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1174)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1177@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp(1174)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1160)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1161)@2ff368aacae4: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1159)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1159): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_invalid_resp-RSL(1160): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1161): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_invalid_resp(1162): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1163: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1164: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1165: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_invalid_resp(1166): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1167: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1169: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_invalid_resp(1170): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1171: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1172: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1173: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_invalid_resp(1174): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1175: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1176: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Tue Sep 10 12:44:03 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19128580) Waiting for packet dumper to finish... 1 (prev_count=19128580, count=19129075) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Tue Sep 10 12:44:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1179)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1179)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1179)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1179)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1179)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL(1179)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_sabm_dm-RSL(1179)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1179)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1179)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1182@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1181)@2ff368aacae4: setverdict(pass): none -> pass 1182@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1182@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1182@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1182@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1181)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1182@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1181)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1182@2ff368aacae4: Final verdict of PTC: none TC_sabm_dm(1181)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1184@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1183)@2ff368aacae4: setverdict(pass): none -> pass 1184@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1184@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1184@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1184@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1183)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1184@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1183)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1184@2ff368aacae4: Final verdict of PTC: none TC_sabm_dm(1183)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_dm(1185)@2ff368aacae4: setverdict(pass): none -> pass 1186@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1186@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1186@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1186@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1186@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1185)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1186@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1185)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1186@2ff368aacae4: Final verdict of PTC: none TC_sabm_dm(1185)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1188@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1187)@2ff368aacae4: setverdict(pass): none -> pass 1188@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1187)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1188@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1187)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1188@2ff368aacae4: Final verdict of PTC: none TC_sabm_dm(1187)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1179)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1180)@2ff368aacae4: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1178)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_dm-RSL-IPA(1178): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_dm-RSL(1179): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1180): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_dm(1181): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1182: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_dm(1183): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1184: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_dm(1185): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1186: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_dm(1187): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1188: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_dm finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Tue Sep 10 12:44:21 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14879383) Waiting for packet dumper to finish... 1 (prev_count=14879383, count=14880006) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Tue Sep 10 12:44:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1193@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1192)@2ff368aacae4: setverdict(pass): none -> pass 1193@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1193@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1193@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1193@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1192)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1193@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1192)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1193@2ff368aacae4: Final verdict of PTC: none TC_establish_ign_first_sabm(1192)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1195@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1194)@2ff368aacae4: setverdict(pass): none -> pass 1195@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1195@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1195@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1195@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1194)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1195@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1194)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1195@2ff368aacae4: Final verdict of PTC: none TC_establish_ign_first_sabm(1194)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1197@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1196)@2ff368aacae4: setverdict(pass): none -> pass 1197@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1197@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1197@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1197@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1197@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1196)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1197@2ff368aacae4: Final verdict of PTC: none TC_establish_ign_first_sabm(1196)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1199@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1198)@2ff368aacae4: setverdict(pass): none -> pass 1199@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1199@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1199@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1199@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1199@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1198)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1199@2ff368aacae4: Final verdict of PTC: none TC_establish_ign_first_sabm(1198)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1190)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1191)@2ff368aacae4: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1189)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1189): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1190): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1191): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_establish_ign_first_sabm(1192): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1193: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_establish_ign_first_sabm(1194): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1195: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_establish_ign_first_sabm(1196): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1197: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_establish_ign_first_sabm(1198): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1199: none (pass -> pass) MTC@2ff368aacae4: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Tue Sep 10 12:44:34 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8347862) Waiting for packet dumper to finish... 1 (prev_count=8347862, count=8353683) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Tue Sep 10 12:44:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1204@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1203)@2ff368aacae4: setverdict(pass): none -> pass 1204@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1204@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1204@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1204@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 0 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 1 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 2 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 3 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 4 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 5 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 6 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 7 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 8 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Starting iteration 9 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1203)@2ff368aacae4: Completed iteration 1204@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1203)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1204@2ff368aacae4: Final verdict of PTC: none TC_iframe_seq_and_ack(1203)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1206@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1205)@2ff368aacae4: setverdict(pass): none -> pass 1206@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1206@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1206@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1206@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 0 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 1 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 2 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 3 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 4 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 5 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 6 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 7 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 8 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Starting iteration 9 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1205)@2ff368aacae4: Completed iteration 1206@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1205)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1206@2ff368aacae4: Final verdict of PTC: none TC_iframe_seq_and_ack(1205)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1208@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1207)@2ff368aacae4: setverdict(pass): none -> pass 1208@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1208@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1208@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1208@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 0 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 1 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 2 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 3 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 4 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 5 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 6 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 7 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 8 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Starting iteration 9 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1207)@2ff368aacae4: Completed iteration 1208@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1207)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1208@2ff368aacae4: Final verdict of PTC: none TC_iframe_seq_and_ack(1207)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1210@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1209)@2ff368aacae4: setverdict(pass): none -> pass 1210@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1210@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1210@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1210@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 0 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 1 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 2 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 3 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 4 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 5 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 6 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 7 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 8 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Starting iteration 9 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1209)@2ff368aacae4: Completed iteration 1210@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1209)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1210@2ff368aacae4: Final verdict of PTC: none TC_iframe_seq_and_ack(1209)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1202)@2ff368aacae4: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL(1201)@2ff368aacae4: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1200)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1200): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1201): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1202): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_seq_and_ack(1203): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1204: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_seq_and_ack(1205): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1206: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_seq_and_ack(1207): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1208: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_seq_and_ack(1209): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1210: none (pass -> pass) MTC@2ff368aacae4: Test case TC_iframe_seq_and_ack finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass'. Tue Sep 10 12:44:52 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14858281) Waiting for packet dumper to finish... 1 (prev_count=14858281, count=14858776) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Tue Sep 10 12:44:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1215@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1214)@2ff368aacae4: setverdict(pass): none -> pass 1215@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1215@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1215@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1215@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1215@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1214)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1215@2ff368aacae4: Final verdict of PTC: none TC_iframe_timer_recovery(1214)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1217@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1216)@2ff368aacae4: setverdict(pass): none -> pass 1217@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1217@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1217@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1217@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1217@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1216)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1217@2ff368aacae4: Final verdict of PTC: none TC_iframe_timer_recovery(1216)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1219@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1218)@2ff368aacae4: setverdict(pass): none -> pass 1219@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1219@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1219@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1219@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1219@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1218)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1219@2ff368aacae4: Final verdict of PTC: none TC_iframe_timer_recovery(1218)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1221@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1220)@2ff368aacae4: setverdict(pass): none -> pass 1221@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1221@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1221@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1221@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1221@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1220)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1221@2ff368aacae4: Final verdict of PTC: none TC_iframe_timer_recovery(1220)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_timer_recovery-RSL(1212)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1213)@2ff368aacae4: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1211)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1211): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_timer_recovery-RSL(1212): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1213): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_timer_recovery(1214): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1215: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_timer_recovery(1216): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1217: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_timer_recovery(1218): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1219: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_iframe_timer_recovery(1220): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1221: none (pass -> pass) MTC@2ff368aacae4: Test case TC_iframe_timer_recovery finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass'. Tue Sep 10 12:45:04 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_timer_recovery pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7436357) Waiting for packet dumper to finish... 1 (prev_count=7436357, count=7442178) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Tue Sep 10 12:45:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL(1223)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL(1223)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1223)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1223)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL(1223)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_ns_seq_error-RSL(1223)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL(1223)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1223)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1223)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1223)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1226@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1225)@2ff368aacae4: setverdict(pass): none -> pass 1226@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1226@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1226@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1226@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1225)@2ff368aacae4: Warning: Re-starting timer T1, which is already active (running or expired). 1226@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1225)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1226@2ff368aacae4: Final verdict of PTC: none TC_ns_seq_error(1225)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1225)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ns_seq_error(1227)@2ff368aacae4: setverdict(pass): none -> pass 1228@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1228@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1228@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1228@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1228@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1227)@2ff368aacae4: Warning: Re-starting timer T1, which is already active (running or expired). 1228@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1227)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1228@2ff368aacae4: Final verdict of PTC: none TC_ns_seq_error(1227)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1227)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1230@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1229)@2ff368aacae4: setverdict(pass): none -> pass 1230@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1230@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1230@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1230@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1229)@2ff368aacae4: Warning: Re-starting timer T1, which is already active (running or expired). 1230@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1229)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1230@2ff368aacae4: Final verdict of PTC: none TC_ns_seq_error(1229)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1229)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1232@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1231)@2ff368aacae4: setverdict(pass): none -> pass 1232@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1232@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1232@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1232@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1231)@2ff368aacae4: Warning: Re-starting timer T1, which is already active (running or expired). 1232@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1231)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1232@2ff368aacae4: Final verdict of PTC: none TC_ns_seq_error(1231)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1231)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_ns_seq_error-RSL(1223)@2ff368aacae4: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1222)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1224)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1222): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ns_seq_error-RSL(1223): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1224): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_ns_seq_error(1225): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1226: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ns_seq_error(1227): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1228: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ns_seq_error(1229): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1230: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_ns_seq_error(1231): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1232: none (pass -> pass) MTC@2ff368aacae4: Test case TC_ns_seq_error finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass'. Tue Sep 10 12:45:21 UTC 2024 ====== BTS_Tests_LAPDm.TC_ns_seq_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14052530) Waiting for packet dumper to finish... 1 (prev_count=14052530, count=14053153) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Tue Sep 10 12:45:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1234)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL(1234)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL(1234)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL(1234)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1234)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1234)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1234)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1234)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1237@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1236)@2ff368aacae4: setverdict(pass): none -> pass 1237@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1237@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1237@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1237@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1237@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1236)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1237@2ff368aacae4: Final verdict of PTC: none TC_nr_seq_error(1236)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1236)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1239@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1238)@2ff368aacae4: setverdict(pass): none -> pass 1239@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1239@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1239@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1239@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1239@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1238)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1239@2ff368aacae4: Final verdict of PTC: none TC_nr_seq_error(1238)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1238)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1241@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1240)@2ff368aacae4: setverdict(pass): none -> pass 1241@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1241@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1241@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1241@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1241@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1240)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1241@2ff368aacae4: Final verdict of PTC: none TC_nr_seq_error(1240)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1240)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1243@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1242)@2ff368aacae4: setverdict(pass): none -> pass 1243@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1243@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1243@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1243@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1243@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1242)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1243@2ff368aacae4: Final verdict of PTC: none TC_nr_seq_error(1242)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1242)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1234)@2ff368aacae4: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1233)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1235)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1233): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_nr_seq_error-RSL(1234): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1235): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_nr_seq_error(1236): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1237: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_nr_seq_error(1238): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1239: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_nr_seq_error(1240): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1241: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_nr_seq_error(1242): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1243: none (pass -> pass) MTC@2ff368aacae4: Test case TC_nr_seq_error finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Tue Sep 10 12:45:29 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3752987) Waiting for packet dumper to finish... 1 (prev_count=3752987, count=3758371) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Tue Sep 10 12:45:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1248@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1247)@2ff368aacae4: setverdict(pass): none -> pass 1248@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1248@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1248@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1248@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1248@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1247)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1248@2ff368aacae4: Final verdict of PTC: none TC_rec_invalid_frame(1247)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1247)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1250@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1249)@2ff368aacae4: setverdict(pass): none -> pass 1250@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1250@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1250@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1250@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1250@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1249)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1250@2ff368aacae4: Final verdict of PTC: none TC_rec_invalid_frame(1249)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1249)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1252@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1251)@2ff368aacae4: setverdict(pass): none -> pass 1252@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1252@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1252@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1252@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1252@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1251)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1252@2ff368aacae4: Final verdict of PTC: none TC_rec_invalid_frame(1251)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1251)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1254@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1253)@2ff368aacae4: setverdict(pass): none -> pass 1254@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1254@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1254@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1254@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1254@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1253)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1254@2ff368aacae4: Final verdict of PTC: none TC_rec_invalid_frame(1253)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1253)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rec_invalid_frame-RSL(1245)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1246)@2ff368aacae4: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1244)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1244): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rec_invalid_frame-RSL(1245): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1246): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rec_invalid_frame(1247): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1248: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rec_invalid_frame(1249): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1250: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rec_invalid_frame(1251): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1252: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rec_invalid_frame(1253): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1254: none (pass -> pass) MTC@2ff368aacae4: Test case TC_rec_invalid_frame finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass'. Tue Sep 10 12:47:51 UTC 2024 ====== BTS_Tests_LAPDm.TC_rec_invalid_frame pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=138994378) Waiting for packet dumper to finish... 1 (prev_count=138994378, count=138994873) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Tue Sep 10 12:47:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1259@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1258)@2ff368aacae4: setverdict(pass): none -> pass 1259@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1259@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1259@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1259@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1258)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1259@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1258)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1259@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_dcch(1258)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1261@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1260)@2ff368aacae4: setverdict(pass): none -> pass 1261@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1261@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1261@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1261@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1260)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1261@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1260)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1261@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_dcch(1260)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1263@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1262)@2ff368aacae4: setverdict(pass): none -> pass 1263@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1263@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1263@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1263@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1262)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1263@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1262)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1263@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_dcch(1262)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1265@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1264)@2ff368aacae4: setverdict(pass): none -> pass 1265@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1265@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1265@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1265@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1264)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1265@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1264)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1265@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_dcch(1264)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_dcch-RSL(1256)@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1255)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1257)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1255): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_dcch-RSL(1256): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1257): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_dcch(1258): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1259: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_dcch(1260): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1261: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_dcch(1262): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1263: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_dcch(1264): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1265: none (pass -> pass) MTC@2ff368aacae4: Test case TC_segm_concat_dcch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass'. Tue Sep 10 12:48:12 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_dcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12280589) Waiting for packet dumper to finish... 1 (prev_count=12280589, count=12281084) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Tue Sep 10 12:48:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1270@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1269)@2ff368aacae4: setverdict(pass): none -> pass 1270@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1270@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1270@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1270@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1269)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1270@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1269)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1270@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_sacch(1269)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1272@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1271)@2ff368aacae4: setverdict(pass): none -> pass 1272@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1272@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1272@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1272@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1271)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1272@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1271)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1272@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_sacch(1271)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1274@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1273)@2ff368aacae4: setverdict(pass): none -> pass 1274@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1274@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1274@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1274@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1273)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1274@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1273)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1274@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_sacch(1273)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1276@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1275)@2ff368aacae4: setverdict(pass): none -> pass 1276@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1276@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1276@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1276@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1275)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1276@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1275)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1276@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_sacch(1275)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_sacch-RSL(1267)@2ff368aacae4: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1266)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1268)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1266): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_sacch-RSL(1267): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1268): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_sacch(1269): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1270: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_sacch(1271): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1272: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_sacch(1273): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1274: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_segm_concat_sacch(1275): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1276: none (pass -> pass) MTC@2ff368aacae4: Test case TC_segm_concat_sacch finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass'. Tue Sep 10 12:49:17 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_sacch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60814858) Waiting for packet dumper to finish... 1 (prev_count=60814858, count=60815353) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Tue Sep 10 12:49:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_t200_n200-RSL(1278)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL(1278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_t200_n200-RSL(1278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_t200_n200-RSL(1278)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1278)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1278)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1281@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1280)@2ff368aacae4: setverdict(pass): none -> pass 1281@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1281@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1281@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1281@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1280)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1281@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1280)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1281@2ff368aacae4: Final verdict of PTC: none TC_t200_n200(1280)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1283@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1282)@2ff368aacae4: setverdict(pass): none -> pass 1283@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1283@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1283@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1283@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1282)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1283@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1282)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1283@2ff368aacae4: Final verdict of PTC: none TC_t200_n200(1282)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1285@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1284)@2ff368aacae4: setverdict(pass): none -> pass 1285@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1285@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1285@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1285@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1284)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1285@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1284)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1285@2ff368aacae4: Final verdict of PTC: none TC_t200_n200(1284)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1287@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1286)@2ff368aacae4: setverdict(pass): none -> pass 1287@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1287@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1287@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1287@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1286)@2ff368aacae4: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1287@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1286)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1287@2ff368aacae4: Final verdict of PTC: none TC_t200_n200(1286)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1278)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1279)@2ff368aacae4: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1277)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_t200_n200-RSL-IPA(1277): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_t200_n200-RSL(1278): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1279): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_t200_n200(1280): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1281: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_t200_n200(1282): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1283: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_t200_n200(1284): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1285: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_t200_n200(1286): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1287: none (pass -> pass) MTC@2ff368aacae4: Test case TC_t200_n200 finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass'. Tue Sep 10 12:50:02 UTC 2024 ====== BTS_Tests_LAPDm.TC_t200_n200 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38400762) Waiting for packet dumper to finish... 1 (prev_count=38400762, count=38401257) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Tue Sep 10 12:50:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1292@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1291)@2ff368aacae4: setverdict(pass): none -> pass 1292@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1292@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1292@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1292@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1291)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1292@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1291)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1292@2ff368aacae4: Final verdict of PTC: none TC_rr_response_frame_loss(1291)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1294@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1293)@2ff368aacae4: setverdict(pass): none -> pass 1294@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1294@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1294@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1294@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1293)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1294@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1293)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1294@2ff368aacae4: Final verdict of PTC: none TC_rr_response_frame_loss(1293)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1296@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1295)@2ff368aacae4: setverdict(pass): none -> pass 1296@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1296@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1296@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1296@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1295)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1296@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1295)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1296@2ff368aacae4: Final verdict of PTC: none TC_rr_response_frame_loss(1295)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1298@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1297)@2ff368aacae4: setverdict(pass): none -> pass 1298@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1298@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1298@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1298@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1297)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1298@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1297)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1298@2ff368aacae4: Final verdict of PTC: none TC_rr_response_frame_loss(1297)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1289)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1290)@2ff368aacae4: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1288)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1288): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rr_response_frame_loss-RSL(1289): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1290): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_rr_response_frame_loss(1291): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1292: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rr_response_frame_loss(1293): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1294: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rr_response_frame_loss(1295): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1296: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_rr_response_frame_loss(1297): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1298: none (pass -> pass) MTC@2ff368aacae4: Test case TC_rr_response_frame_loss finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass'. Tue Sep 10 12:50:10 UTC 2024 ====== BTS_Tests_LAPDm.TC_rr_response_frame_loss pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4438202) Waiting for packet dumper to finish... 1 (prev_count=4438202, count=4438697) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Tue Sep 10 12:50:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1300)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1300)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL(1300)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1300)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1303@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1302)@2ff368aacae4: setverdict(pass): none -> pass 1303@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1303@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1303@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1303@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1303@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1302)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1303@2ff368aacae4: Final verdict of PTC: none TC_incorrect_cr(1302)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1305@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1304)@2ff368aacae4: setverdict(pass): none -> pass 1305@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1305@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1305@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1305@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1305@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1304)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1305@2ff368aacae4: Final verdict of PTC: none TC_incorrect_cr(1304)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1307@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1306)@2ff368aacae4: setverdict(pass): none -> pass 1307@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1307@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1307@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1307@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1307@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1306)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1307@2ff368aacae4: Final verdict of PTC: none TC_incorrect_cr(1306)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1309@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1308)@2ff368aacae4: setverdict(pass): none -> pass 1309@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1309@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1309@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1309@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1309@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1308)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1309@2ff368aacae4: Final verdict of PTC: none TC_incorrect_cr(1308)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1300)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1301)@2ff368aacae4: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1299)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1299): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_incorrect_cr-RSL(1300): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1301): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_incorrect_cr(1302): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1303: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_incorrect_cr(1304): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1305: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_incorrect_cr(1306): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1307: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_incorrect_cr(1308): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1309: none (pass -> pass) MTC@2ff368aacae4: Test case TC_incorrect_cr finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Tue Sep 10 12:50:29 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15779129) Waiting for packet dumper to finish... 1 (prev_count=15779129, count=15779624) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Tue Sep 10 12:50:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1314@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1313)@2ff368aacae4: setverdict(pass): none -> pass 1314@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1314@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1314@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1314@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1314@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1313)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1314@2ff368aacae4: Final verdict of PTC: none TC_sabm_incorrect_c(1313)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1316@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1315)@2ff368aacae4: setverdict(pass): none -> pass 1316@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1316@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1316@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1316@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1316@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1315)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1316@2ff368aacae4: Final verdict of PTC: none TC_sabm_incorrect_c(1315)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1318@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1317)@2ff368aacae4: setverdict(pass): none -> pass 1318@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1318@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1318@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1318@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1318@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1317)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1318@2ff368aacae4: Final verdict of PTC: none TC_sabm_incorrect_c(1317)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1320@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1319)@2ff368aacae4: setverdict(pass): none -> pass 1320@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1320@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1320@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1320@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1320@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1319)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1320@2ff368aacae4: Final verdict of PTC: none TC_sabm_incorrect_c(1319)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_incorrect_c-RSL(1311)@2ff368aacae4: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1310)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1312)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1310): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_incorrect_c-RSL(1311): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1312): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_incorrect_c(1313): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1314: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_incorrect_c(1315): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1316: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_incorrect_c(1317): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1318: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_sabm_incorrect_c(1319): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1320: none (pass -> pass) MTC@2ff368aacae4: Test case TC_sabm_incorrect_c finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass'. Tue Sep 10 12:50:38 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_incorrect_c pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5113270) Waiting for packet dumper to finish... 1 (prev_count=5113270, count=5118782) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Tue Sep 10 12:50:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL(1322)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1325@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1324)@2ff368aacae4: setverdict(pass): none -> pass 1325@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1325@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1325@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1325@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1324)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1324)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1325@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1324)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1325@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment(1324)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1327@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1326)@2ff368aacae4: setverdict(pass): none -> pass 1327@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1327@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1327@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1327@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1326)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1326)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1327@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1326)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1327@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment(1326)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1329@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1328)@2ff368aacae4: setverdict(pass): none -> pass 1329@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1329@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1329@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1329@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1328)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1328)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1329@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1328)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1329@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment(1328)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1331@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1330)@2ff368aacae4: setverdict(pass): none -> pass 1331@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1331@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1331@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1331@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1330)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1330)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1331@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1330)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1331@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment(1330)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment-RSL(1322)@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1321)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1323)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1321): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment-RSL(1322): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1323): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment(1324): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1325: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment(1326): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1327: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment(1328): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1329: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment(1330): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1331: none (pass -> pass) MTC@2ff368aacae4: Test case TC_normal_reestablishment finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass'. Tue Sep 10 12:51:05 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22430830) Waiting for packet dumper to finish... 1 (prev_count=22430830, count=22431325) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Tue Sep 10 12:51:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1336@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1335)@2ff368aacae4: setverdict(pass): none -> pass 1336@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1336@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1336@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1336@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1335)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1336@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1335)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1336@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1335)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1338@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1337)@2ff368aacae4: setverdict(pass): none -> pass 1338@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1338@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1338@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1338@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1337)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1338@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1337)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1338@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1337)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1340@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1339)@2ff368aacae4: setverdict(pass): none -> pass 1340@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1340@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1340@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1340@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1339)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1340@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1339)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1340@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1339)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1342@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1341)@2ff368aacae4: setverdict(pass): none -> pass 1342@2ff368aacae4: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1342@2ff368aacae4: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1342@2ff368aacae4: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1342@2ff368aacae4: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1341)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1342@2ff368aacae4: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1341)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed 1342@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1341)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment_state_unacked-RSL(1333)@2ff368aacae4: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1332)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1334)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1332): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1333): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1334): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment_state_unacked(1335): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1336: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment_state_unacked(1337): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1338: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment_state_unacked(1339): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1340: none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_normal_reestablishment_state_unacked(1341): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC with component reference 1342: none (pass -> pass) MTC@2ff368aacae4: Test case TC_normal_reestablishment_state_unacked finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass'. Tue Sep 10 12:51:24 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15018051) Waiting for packet dumper to finish... 1 (prev_count=15018051, count=15023435) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass' was executed successfully (exit status: 0). MC@2ff368aacae4: Test execution finished. MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Tue Sep 10 12:51:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1346)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1347)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1346)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1346)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1347)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1347)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1348)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1349)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1348)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1348)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1349)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1349)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1350)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1351)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1350)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1350)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1351)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1351)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1352)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1353)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1352)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1352)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vff(1353)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1353)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1344)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1343)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1345)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1343): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1344): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1345): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1346): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1347): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1348): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1349): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1350): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1351): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1352): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vff(1353): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Tue Sep 10 12:51:31 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2851966) Waiting for packet dumper to finish... 1 (prev_count=2851966, count=2852461) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Tue Sep 10 12:51:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh(1357)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1358)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1359)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1360)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1357)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1357)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1358)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1358)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1359)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1359)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1360)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1360)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1361)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1362)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1363)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1364)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1361)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1361)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1362)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1362)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1363)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1363)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1364)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1364)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1365)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1366)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1367)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1368)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1365)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1365)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1366)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1366)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1367)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1367)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1368)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1368)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1369)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1370)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1371)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1372)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1369)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1369)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1370)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1370)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1371)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1371)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1372)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1372)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1355)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1356)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1354)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1354): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1355): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1356): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1357): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1358): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1359): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1360): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1361): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1362): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1363): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1364): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1365): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1366): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1367): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1368): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1369): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1370): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1371): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_vhh(1372): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Tue Sep 10 12:51:38 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3067231) Waiting for packet dumper to finish... 1 (prev_count=3067231, count=3067726) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Tue Sep 10 12:51:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh(1376)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1377)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1378)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1376)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1376)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1377)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1377)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1378)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1378)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1379)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1380)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1381)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1379)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1379)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1380)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1380)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1381)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1381)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1382)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1383)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1384)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1382)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1382)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1383)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1383)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1384)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1384)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1385)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1386)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1387)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1385)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1385)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1386)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1386)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1387)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1387)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1374)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1375)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1373)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1373): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1374): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1375): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1376): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1377): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1378): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1379): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1380): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1381): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1382): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1383): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1384): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1385): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1386): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_hvhh(1387): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Tue Sep 10 12:51:45 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2949031) Waiting for packet dumper to finish... 1 (prev_count=2949031, count=2949526) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Tue Sep 10 12:51:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff(1391)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1392)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1391)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1391)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1392)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1392)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1393)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1394)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1393)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1393)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1394)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1394)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1395)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1396)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1395)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1395)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1396)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1396)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1397)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1398)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1397)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1397)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1398)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1398)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1389)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1390)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1388): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1389): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1390): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1391): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1392): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1393): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1394): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1395): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1396): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1397): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1398): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Tue Sep 10 12:51:52 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2848643) Waiting for packet dumper to finish... 1 (prev_count=2848643, count=2849138) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Tue Sep 10 12:51:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1402)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1403)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1402)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1402)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1403)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1403)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1404)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1405)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1404)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1404)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1405)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1405)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1406)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1407)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1406)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1406)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1407)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1407)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1408)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1409)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1408)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1408)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1409)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1409)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1400)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1401)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1399): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1400): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1401): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1402): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1403): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1404): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1405): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1406): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1407): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1408): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1409): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Tue Sep 10 12:51:58 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2854379) Waiting for packet dumper to finish... 1 (prev_count=2854379, count=2859763) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Tue Sep 10 12:52:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1413)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1413)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1413)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1414)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1415)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1416)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1417)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1418)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1419)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1420)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1421)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1422)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1423)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1424)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1426)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1427)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1428)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1425)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1426)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1426)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1427)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1427)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1428)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1428)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1412)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1410): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1411): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1412): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1413): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1414): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1415): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1416): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1417): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1418): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1419): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1420): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1421): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1422): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1423): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1424): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1425): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1426): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1427): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1428): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Tue Sep 10 12:52:05 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3064512) Waiting for packet dumper to finish... 1 (prev_count=3064512, count=3065007) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Tue Sep 10 12:52:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1432)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1432)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1432)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1433)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1434)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1435)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1436)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1437)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1438)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1439)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1440)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1441)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1442)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1443)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1441)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1441)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1442)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1442)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1443)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1443)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1431)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1429): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1430): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1431): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1432): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1433): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1434): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1435): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1436): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1437): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1438): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1439): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1440): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1441): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1442): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1443): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Tue Sep 10 12:52:12 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2950014) Waiting for packet dumper to finish... 1 (prev_count=2950014, count=2950509) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Tue Sep 10 12:52:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1447)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1447)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1448)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1448)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1449)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1449)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1450)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1450)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1451)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1451)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1452)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1452)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1452)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1452)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1453)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1453)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1453)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1453)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1454)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1454)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1454)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1454)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1446)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL(1445)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1444)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1444): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1445): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1446): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1447): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1448): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1449): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1450): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1451): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1452): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1453): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1454): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Tue Sep 10 12:52:18 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2094078) Waiting for packet dumper to finish... 1 (prev_count=2094078, count=2094573) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Tue Sep 10 12:52:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh(1458)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1458)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1459)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1459)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1460)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1460)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1461)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1461)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1462)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1462)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1463)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1463)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1464)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1464)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1465)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1465)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1466)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1466)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1467)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1467)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1468)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1468)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1469)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1469)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1470)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1470)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1471)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1471)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1471)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1471)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1472)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1472)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1472)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1472)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1473)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1473)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1473)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1473)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1456)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1457)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1455): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1456): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1457): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1458): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1459): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1460): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1461): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1462): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1463): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1464): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1465): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1466): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1467): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1468): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1469): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1470): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1471): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1472): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1473): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Tue Sep 10 12:52:24 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2261728) Waiting for packet dumper to finish... 1 (prev_count=2261728, count=2267112) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Tue Sep 10 12:52:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh(1477)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1477)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1478)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1478)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1479)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1479)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1480)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1480)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1481)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1481)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1482)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1482)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1483)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1483)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1484)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1484)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1485)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1485)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1486)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1486)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1486)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1486)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1487)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1487)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1487)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1487)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1488)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1488)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1488)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1488)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1475)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1476)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1474): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1475): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1476): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1477): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1478): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1479): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1480): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1481): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1482): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1483): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1484): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1485): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1486): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1487): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1488): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Tue Sep 10 12:52:30 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2185888) Waiting for packet dumper to finish... 1 (prev_count=2185888, count=2186383) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Tue Sep 10 12:52:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1497)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1498)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1499)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1491)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1489): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1490): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1491): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1492): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1493): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1494): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1495): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1496): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1497): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1498): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1499): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Tue Sep 10 12:52:35 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2119544) Waiting for packet dumper to finish... 1 (prev_count=2119544, count=2120039) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Tue Sep 10 12:52:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1508)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1509)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1510)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1502)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1500): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1501): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1502): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1503): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1504): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1505): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1506): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1507): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1508): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1509): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1510): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Tue Sep 10 12:52:41 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2122250) Waiting for packet dumper to finish... 1 (prev_count=2122250, count=2122745) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Tue Sep 10 12:52:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1513)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1511): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1512): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1513): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1527): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1528): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1529): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Tue Sep 10 12:52:47 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2317026) Waiting for packet dumper to finish... 1 (prev_count=2317026, count=2317521) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Tue Sep 10 12:52:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543)@2ff368aacae4: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@2ff368aacae4: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531)@2ff368aacae4: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1532)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1530): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1531): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1532): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533): pass (none -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1542): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1543): pass (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1544): pass (pass -> pass) MTC@2ff368aacae4: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Tue Sep 10 12:52:53 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2210155) Waiting for packet dumper to finish... 1 (prev_count=2210155, count=2210650) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@2ff368aacae4: Test execution finished. MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Tue Sep 10 12:52:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL(1546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL(1546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL(1546)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vbs_notification-RSL(1546)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL(1546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1546)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vbs_notification-RSL(1546)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1546)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1546)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E5040083'O MTC@2ff368aacae4: Sending RSL NOTIF_CMD (start) MTC@2ff368aacae4: Received matching NOTIFICATION/NCH. MTC@2ff368aacae4: Received matching NOTIFICATION/NCH. MTC@2ff368aacae4: Sending RSL NOTIF_CMD (stop) MTC@2ff368aacae4: Not received NOTIFICATION/NCH. (as expected) MTC@2ff368aacae4: setverdict(pass): none -> pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":134 TC_vbs_notification-RSL(1546)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1547)@2ff368aacae4: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1545)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: pass MTC@2ff368aacae4: Local verdict of PTC TC_vbs_notification-RSL-IPA(1545): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC TC_vbs_notification-RSL(1546): none (pass -> pass) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1547): none (pass -> pass) MTC@2ff368aacae4: Test case TC_vbs_notification finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass'. Tue Sep 10 12:53:02 UTC 2024 ====== BTS_Tests_ASCI.TC_vbs_notification pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5077565) Waiting for packet dumper to finish... 1 (prev_count=5077565, count=5078060) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Tue Sep 10 12:53:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1551)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":197 TC_vgcs_uplink_free_and_busy-RSL(1549)@2ff368aacae4: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1548)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1550)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1548): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1549): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1550): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1551): pass (none -> pass) MTC@2ff368aacae4: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Tue Sep 10 12:53:08 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2557000) Waiting for packet dumper to finish... 1 (prev_count=2557000, count=2557495) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Tue Sep 10 12:53:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1555)@2ff368aacae4: Activating VGCS channel. TC_vgcs_talker_fail(1555)@2ff368aacae4: setverdict(pass): none -> pass TC_vgcs_talker_fail(1555)@2ff368aacae4: Send UPLINK FREE. TC_vgcs_talker_fail(1555)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1555)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_talker_fail(1555)@2ff368aacae4: Send UPLINK ACCESS. TC_vgcs_talker_fail(1555)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1555)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1555)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_fail(1555)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@2ff368aacae4: Received VGCS UPLINK GRANT. TC_vgcs_talker_fail(1555)@2ff368aacae4: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_fail(1555)@2ff368aacae4: RSL Conn Fail Ind has been detected as expected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } TC_vgcs_talker_fail(1555)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1555)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":297 TC_vgcs_talker_fail-RSL(1553)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1554)@2ff368aacae4: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1552)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1552): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_talker_fail-RSL(1553): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1554): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_talker_fail(1555): pass (none -> pass) MTC@2ff368aacae4: Test case TC_vgcs_talker_fail finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass'. Tue Sep 10 12:53:29 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_fail pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19735860) Waiting for packet dumper to finish... 1 (prev_count=19735860, count=19741244) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Tue Sep 10 12:53:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: Established a new IPA connection (conn_id=5) MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Activating VGCS channel. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Send UPLINK FREE. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Received VGCS UPLINK GRANT. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Send UPLINK FREE. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { bter := { payload := '0353012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1559)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Received UPLINK FREE. TC_vgcs_talker_est_rel(1559)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1559)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":340 IPA-CTRL-CLI-IPA(1558)@2ff368aacae4: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL(1557)@2ff368aacae4: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1556)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1556): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1557): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1558): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_talker_est_rel(1559): pass (none -> pass) MTC@2ff368aacae4: Test case TC_vgcs_talker_est_rel finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass'. Tue Sep 10 12:53:34 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2325162) Waiting for packet dumper to finish... 1 (prev_count=2325162, count=2325657) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass' was executed successfully (exit status: 0). MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Tue Sep 10 12:53:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@2ff368aacae4: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@2ff368aacae4: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@2ff368aacae4: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@2ff368aacae4: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Mapped TRX#1 to TCP/IP conn_id=3 MTC@2ff368aacae4: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@2ff368aacae4: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Mapped TRX#2 to TCP/IP conn_id=4 MTC@2ff368aacae4: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@2ff368aacae4: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Mapped TRX#3 to TCP/IP conn_id=5 MTC@2ff368aacae4: 4/4 transceiver(s) connected MTC@2ff368aacae4: 4/4 RF Resource Indication(s) received MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@2ff368aacae4: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@2ff368aacae4: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1563)@2ff368aacae4: Activating VGCS channel. TC_vgcs_listener_det(1563)@2ff368aacae4: setverdict(pass): none -> pass TC_vgcs_listener_det(1563)@2ff368aacae4: Send UPLINK ACCESS. TC_vgcs_listener_det(1563)@2ff368aacae4: RSL Talker Listener has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_LISTENER_DET (54), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_listener_det(1563)@2ff368aacae4: Send second UPLINK ACCESS. TC_vgcs_listener_det(1563)@2ff368aacae4: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1563)@2ff368aacae4: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_listener_det(1563)@2ff368aacae4: Final verdict of PTC: pass MTC@2ff368aacae4: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":418 TC_vgcs_listener_det-RSL(1561)@2ff368aacae4: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1560)@2ff368aacae4: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1562)@2ff368aacae4: Final verdict of PTC: none MTC@2ff368aacae4: Setting final verdict of the test case. MTC@2ff368aacae4: Local verdict of MTC: none MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1560): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_listener_det-RSL(1561): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC IPA-CTRL-CLI-IPA(1562): none (none -> none) MTC@2ff368aacae4: Local verdict of PTC TC_vgcs_listener_det(1563): pass (none -> pass) MTC@2ff368aacae4: Test case TC_vgcs_listener_det finished. Verdict: pass MTC@2ff368aacae4: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass'. Tue Sep 10 12:53:42 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_listener_det pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4407460) Waiting for packet dumper to finish... 1 (prev_count=4407460, count=4407955) MTC@2ff368aacae4: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass' was executed successfully (exit status: 0). MC@2ff368aacae4: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@2ff368aacae4: Terminating MTC. MC@2ff368aacae4: MTC terminated. MC2> exit MC@2ff368aacae4: Shutting down session. MC@2ff368aacae4: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass BTS_Tests.TC_meas_res_speech_tchh pass BTS_Tests.TC_meas_res_speech_tchh_facch pass BTS_Tests.TC_meas_res_speech_tchh_toa256 pass BTS_Tests.TC_meas_res_sign_tchf pass BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass BTS_Tests.TC_meas_res_sign_sdcch8 pass BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass->FAIL BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass BTS_Tests.TC_rll_rel_ind_ACCH_0 pass BTS_Tests.TC_rll_rel_ind_ACCH_3 pass BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass BTS_Tests.TC_acch_overpower_rxqual_thresh pass BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass BTS_Tests.TC_acch_overpower_always_on_facch pass BTS_Tests.TC_acch_overpower_always_on_sacch pass BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass BTS_Tests_LAPDm.TC_iframe_timer_recovery pass BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass BTS_Tests_LAPDm.TC_rec_invalid_frame pass BTS_Tests_LAPDm.TC_segm_concat_dcch pass BTS_Tests_LAPDm.TC_segm_concat_sacch pass BTS_Tests_LAPDm.TC_t200_n200 pass BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass BTS_Tests_LAPDm.TC_sabm_incorrect_c pass BTS_Tests_LAPDm.TC_normal_reestablishment pass BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass BTS_Tests_ASCI.TC_vgcs_talker_fail pass BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 3 xfail: 5 pass: 234 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-trxcon + docker kill jenkins-ttcn3-bts-test-io_uring-120-trxcon jenkins-ttcn3-bts-test-io_uring-120-trxcon + docker wait jenkins-ttcn3-bts-test-io_uring-120-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-fake_trx + docker kill jenkins-ttcn3-bts-test-io_uring-120-fake_trx jenkins-ttcn3-bts-test-io_uring-120-fake_trx + docker wait jenkins-ttcn3-bts-test-io_uring-120-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-bts + docker kill jenkins-ttcn3-bts-test-io_uring-120-bts jenkins-ttcn3-bts-test-io_uring-120-bts + docker wait jenkins-ttcn3-bts-test-io_uring-120-bts 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-bsc + docker kill jenkins-ttcn3-bts-test-io_uring-120-bsc jenkins-ttcn3-bts-test-io_uring-120-bsc + docker wait jenkins-ttcn3-bts-test-io_uring-120-bsc 137 + start_config_virtphy + test_config_enabled virtphy + local config=virtphy + local i + local valid=0 + [ virtphy = generic ] + [ virtphy = virtphy ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ virtphy = generic ] + [ virtphy = oml ] + [ virtphy = hopping ] + return 1 + return + start_config_oml + test_config_enabled oml + local config=oml + local i + local valid=0 + [ oml = generic ] + [ oml = virtphy ] + [ oml = oml ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ oml = generic ] + [ oml = oml ] + return 0 + cp oml/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/Makefile Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/osmo-bts.gen.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 0 20 + NET=0 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-0 --ip 172.18.0.20 --ip6 fd02:db8:0::20 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.20 --ip6 fd02:db8:0::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-io_uring-120-bts -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmo-bts-master /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 7be1807dc15e2ee27135986855203c335e36077c2738fcd972c50da7d8faff69 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 0 21 + NET=0 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-0 --ip 172.18.0.21 --ip6 fd02:db8:0::21 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.21 --ip6 fd02:db8:0::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-io_uring-120-fake_trx -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.0.20 -r 172.18.0.22 --trx TRX1@172.18.0.20:5700/1 --trx TRX2@172.18.0.20:5700/2 --trx TRX3@172.18.0.20:5700/3 >>/data/fake_trx.out 2>&1 425ec34f596cb72642ef7af142fb83f50fde02078fc2d206b20b2317598332e7 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 0 22 + NET=0 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-0 --ip 172.18.0.22 --ip6 fd02:db8:0::22 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.22 --ip6 fd02:db8:0::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-io_uring-120-trxcon -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.0.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 87cec0851ab695612628a31080259cf1dc2885d2df6f38a75150ba45816813cb + start_testsuite oml + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=oml + docker_network_params 0 10 + NET=0 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-0 --ip 172.18.0.10 --ip6 fd02:db8:0::10 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.10 --ip6 fd02:db8:0::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.0.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-io_uring-120-ttcn3-bts-test -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@ac9e559059ee: Unix server socket created successfully. MC@ac9e559059ee: Listening on TCP port 33011. ac9e559059ee is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests ac9e559059ee 33011 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@ac9e559059ee: New HC connected from 172.18.0.10 [172.18.0.10]. ac9e559059ee: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@ac9e559059ee: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@ac9e559059ee: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@ac9e559059ee: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@ac9e559059ee: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@ac9e559059ee: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@ac9e559059ee: Configuration file was processed on all HCs. MC@ac9e559059ee: Creating MTC on host 172.18.0.10. MC@ac9e559059ee: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc'. ------ BTS_Tests_OML.TC_wrong_mdisc ------ Tue Sep 10 12:54:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_mdisc.pcap" >/data/BTS_Tests_OML.TC_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_mdisc started. TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_mdisc-OML-IPA(3)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_mdisc-OML-IPA(3): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_mdisc finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass'. Tue Sep 10 12:54:19 UTC 2024 ====== BTS_Tests_OML.TC_wrong_mdisc pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=212466) Waiting for packet dumper to finish... 1 (prev_count=212466, count=213145) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype'. ------ BTS_Tests_OML.TC_wrong_msgtype ------ Tue Sep 10 12:54:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_msgtype.pcap" >/data/BTS_Tests_OML.TC_wrong_msgtype.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_msgtype started. TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_msgtype-OML-IPA(4)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_msgtype-OML-IPA(4): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_msgtype finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass'. Tue Sep 10 12:54:24 UTC 2024 ====== BTS_Tests_OML.TC_wrong_msgtype pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_msgtype.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325710) Waiting for packet dumper to finish... 1 (prev_count=325710, count=326205) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length'. ------ BTS_Tests_OML.TC_short_length ------ Tue Sep 10 12:54:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_short_length.pcap" >/data/BTS_Tests_OML.TC_short_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_short_length started. TC_short_length-OML-IPA(5)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_short_length-OML-IPA(5)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_short_length-OML-IPA(5)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_short_length-OML-IPA(5)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_short_length-OML-IPA(5)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_short_length-OML-IPA(5)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_short_length-OML-IPA(5)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_short_length-OML-IPA(5): none (pass -> pass) MTC@ac9e559059ee: Test case TC_short_length finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass'. Tue Sep 10 12:54:29 UTC 2024 ====== BTS_Tests_OML.TC_short_length pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_short_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324610) Waiting for packet dumper to finish... 1 (prev_count=324610, count=325105) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length'. ------ BTS_Tests_OML.TC_long_length ------ Tue Sep 10 12:54:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_long_length.pcap" >/data/BTS_Tests_OML.TC_long_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_long_length started. TC_long_length-OML-IPA(6)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_long_length-OML-IPA(6)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_long_length-OML-IPA(6)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_long_length-OML-IPA(6)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_long_length-OML-IPA(6)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_long_length-OML-IPA(6)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_long_length-OML-IPA(6)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_long_length-OML-IPA(6): none (pass -> pass) MTC@ac9e559059ee: Test case TC_long_length finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass'. Tue Sep 10 12:54:34 UTC 2024 ====== BTS_Tests_OML.TC_long_length pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_long_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325759) Waiting for packet dumper to finish... 1 (prev_count=325759, count=326346) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement'. ------ BTS_Tests_OML.TC_wrong_placement ------ Tue Sep 10 12:54:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_placement.pcap" >/data/BTS_Tests_OML.TC_wrong_placement.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_placement started. TC_wrong_placement-OML-IPA(7)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_placement-OML-IPA(7)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_placement-OML-IPA(7)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_placement-OML-IPA(7)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_placement-OML-IPA(7)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_placement-OML-IPA(7)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_placement-OML-IPA(7)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_placement-OML-IPA(7): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_placement finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass'. Tue Sep 10 12:54:39 UTC 2024 ====== BTS_Tests_OML.TC_wrong_placement pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_placement.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=330758) Waiting for packet dumper to finish... 1 (prev_count=330758, count=331253) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq'. ------ BTS_Tests_OML.TC_wrong_seq ------ Tue Sep 10 12:54:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_seq.pcap" >/data/BTS_Tests_OML.TC_wrong_seq.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_seq started. TC_wrong_seq-OML-IPA(8)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_seq-OML-IPA(8)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_seq-OML-IPA(8)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_seq-OML-IPA(8)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_seq-OML-IPA(8)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_seq-OML-IPA(8)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_seq-OML-IPA(8)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_seq-OML-IPA(8): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_seq finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass'. Tue Sep 10 12:54:44 UTC 2024 ====== BTS_Tests_OML.TC_wrong_seq pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_seq.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321168) Waiting for packet dumper to finish... 1 (prev_count=321168, count=321663) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class'. ------ BTS_Tests_OML.TC_wrong_obj_class ------ Tue Sep 10 12:54:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_obj_class.pcap" >/data/BTS_Tests_OML.TC_wrong_obj_class.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_obj_class started. TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_obj_class-OML-IPA(9)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_obj_class-OML-IPA(9): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_obj_class finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass'. Tue Sep 10 12:54:49 UTC 2024 ====== BTS_Tests_OML.TC_wrong_obj_class pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_obj_class.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323468) Waiting for packet dumper to finish... 1 (prev_count=323468, count=323963) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr'. ------ BTS_Tests_OML.TC_wrong_bts_nr ------ Tue Sep 10 12:54:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_bts_nr started. TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_bts_nr-OML-IPA(10)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_bts_nr-OML-IPA(10): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_bts_nr finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass'. Tue Sep 10 12:54:54 UTC 2024 ====== BTS_Tests_OML.TC_wrong_bts_nr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_bts_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=323014) Waiting for packet dumper to finish... 1 (prev_count=323014, count=323509) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr'. ------ BTS_Tests_OML.TC_wrong_trx_nr ------ Tue Sep 10 12:54:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_wrong_trx_nr started. TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_trx_nr-OML-IPA(11)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_wrong_trx_nr-OML-IPA(11): none (pass -> pass) MTC@ac9e559059ee: Test case TC_wrong_trx_nr finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass'. Tue Sep 10 12:54:59 UTC 2024 ====== BTS_Tests_OML.TC_wrong_trx_nr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_wrong_trx_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=324174) Waiting for packet dumper to finish... 1 (prev_count=324174, count=324669) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr'. ------ BTS_Tests_OML.TC_radio_carrier_opstart_noattr ------ Tue Sep 10 12:55:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_radio_carrier_opstart_noattr started. TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_radio_carrier_opstart_noattr-OML-IPA(12)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_radio_carrier_opstart_noattr-OML-IPA(12): none (pass -> pass) MTC@ac9e559059ee: Test case TC_radio_carrier_opstart_noattr finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass'. Tue Sep 10 12:55:04 UTC 2024 ====== BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_radio_carrier_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325188) Waiting for packet dumper to finish... 1 (prev_count=325188, count=325683) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart'. ------ BTS_Tests_OML.TC_bts_opstart ------ Tue Sep 10 12:55:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart.pcap" >/data/BTS_Tests_OML.TC_bts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_bts_opstart started. TC_bts_opstart-OML-IPA(13)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_bts_opstart-OML-IPA(13)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart-OML-IPA(13)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart-OML-IPA(13)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart-OML-IPA(13)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart-OML-IPA(13)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart-OML-IPA(13)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_bts_opstart-OML-IPA(13): none (pass -> pass) MTC@ac9e559059ee: Test case TC_bts_opstart finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass'. Tue Sep 10 12:55:09 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_bts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=349210) Waiting for packet dumper to finish... 1 (prev_count=349210, count=349705) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr'. ------ BTS_Tests_OML.TC_bts_opstart_noattr ------ Tue Sep 10 12:55:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_bts_opstart_noattr started. TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart_noattr-OML-IPA(14)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_bts_opstart_noattr-OML-IPA(14): none (pass -> pass) MTC@ac9e559059ee: Test case TC_bts_opstart_noattr finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass'. Tue Sep 10 12:55:14 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart_noattr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_bts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=322474) Waiting for packet dumper to finish... 1 (prev_count=322474, count=322969) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart'. ------ BTS_Tests_OML.TC_ts_opstart ------ Tue Sep 10 12:55:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart.pcap" >/data/BTS_Tests_OML.TC_ts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_ts_opstart started. TC_ts_opstart-OML-IPA(15)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_ts_opstart-OML-IPA(15)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart-OML-IPA(15)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart-OML-IPA(15)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart-OML-IPA(15)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart-OML-IPA(15)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart-OML-IPA(15)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_ts_opstart-OML-IPA(15): none (pass -> pass) MTC@ac9e559059ee: Test case TC_ts_opstart finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass'. Tue Sep 10 12:55:19 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_ts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=331487) Waiting for packet dumper to finish... 1 (prev_count=331487, count=331982) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr'. ------ BTS_Tests_OML.TC_ts_opstart_noattr ------ Tue Sep 10 12:55:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_ts_opstart_noattr started. TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart_noattr-OML-IPA(16)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_ts_opstart_noattr-OML-IPA(16): none (pass -> pass) MTC@ac9e559059ee: Test case TC_ts_opstart_noattr finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass'. Tue Sep 10 12:55:24 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart_noattr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_ts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321672) Waiting for packet dumper to finish... 1 (prev_count=321672, count=322167) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports'. ------ BTS_Tests_OML.TC_initial_state_reports ------ Tue Sep 10 12:55:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_initial_state_reports.pcap" >/data/BTS_Tests_OML.TC_initial_state_reports.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_initial_state_reports started. TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } MTC@ac9e559059ee: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", new component reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_initial_state_reports-OML-IPA(17)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@ac9e559059ee: Local verdict of PTC TC_initial_state_reports-OML-IPA(17): none (pass -> pass) MTC@ac9e559059ee: Test case TC_initial_state_reports finished. Verdict: pass reason: "BTS_Tests_OML.ttcn:158 : " MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass'. Tue Sep 10 12:55:29 UTC 2024 ====== BTS_Tests_OML.TC_initial_state_reports pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_initial_state_reports.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325285) Waiting for packet dumper to finish... 1 (prev_count=325285, count=325780) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd'. ------ BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd ------ Tue Sep 10 12:55:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap" >/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_ipa_osmo_pcu_anr_fwd started. TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } MTC@ac9e559059ee: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: BTS Features:'01101110111111111111111111110000'B MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass, component reason not changed MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18): none (pass -> pass) MTC@ac9e559059ee: Test case TC_ipa_osmo_pcu_anr_fwd finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass'. Tue Sep 10 12:55:34 UTC 2024 ====== BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=328761) Waiting for packet dumper to finish... 1 (prev_count=328761, count=329256) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass' was executed successfully (exit status: 0). MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack'. ------ BTS_Tests_OML.TC_ipa_rsl_connect_nack ------ Tue Sep 10 12:55:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap" >/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@ac9e559059ee: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack' was executed successfully (exit status: 0). MTC@ac9e559059ee: Test case TC_ipa_rsl_connect_nack started. TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: Established a new IPA connection (conn_id=2) TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@ac9e559059ee: setverdict(pass): none -> pass MTC@ac9e559059ee: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@ac9e559059ee: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_rsl_connect_nack-OML-IPA(19)@ac9e559059ee: Final verdict of PTC: none MTC@ac9e559059ee: Setting final verdict of the test case. MTC@ac9e559059ee: Local verdict of MTC: pass MTC@ac9e559059ee: Local verdict of PTC TC_ipa_rsl_connect_nack-OML-IPA(19): none (pass -> pass) MTC@ac9e559059ee: Test case TC_ipa_rsl_connect_nack finished. Verdict: pass MTC@ac9e559059ee: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass'. Tue Sep 10 12:55:39 UTC 2024 ====== BTS_Tests_OML.TC_ipa_rsl_connect_nack pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_OML.TC_ipa_rsl_connect_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=321097) Waiting for packet dumper to finish... 1 (prev_count=321097, count=321592) MTC@ac9e559059ee: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass' was executed successfully (exit status: 0). MC@ac9e559059ee: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@ac9e559059ee: Terminating MTC. MC@ac9e559059ee: MTC terminated. MC2> exit MC@ac9e559059ee: Shutting down session. MC@ac9e559059ee: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-oml-21.log' -------------------- NEW: PASS BTS_Tests_OML.TC_wrong_mdisc NEW: PASS BTS_Tests_OML.TC_wrong_msgtype NEW: PASS BTS_Tests_OML.TC_short_length NEW: PASS BTS_Tests_OML.TC_long_length NEW: PASS BTS_Tests_OML.TC_wrong_placement NEW: PASS BTS_Tests_OML.TC_wrong_seq NEW: PASS BTS_Tests_OML.TC_wrong_obj_class NEW: PASS BTS_Tests_OML.TC_wrong_bts_nr NEW: PASS BTS_Tests_OML.TC_wrong_trx_nr NEW: PASS BTS_Tests_OML.TC_radio_carrier_opstart_noattr NEW: PASS BTS_Tests_OML.TC_bts_opstart NEW: PASS BTS_Tests_OML.TC_bts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_ts_opstart NEW: PASS BTS_Tests_OML.TC_ts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_initial_state_reports NEW: PASS BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd NEW: PASS BTS_Tests_OML.TC_ipa_rsl_connect_nack Summary: NEW: PASS: 17 skip: 242 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_bts_opstart.merged Generated BTS_Tests.TC_bts_opstart_noattr.merged Generated BTS_Tests.TC_initial_state_reports.merged Generated BTS_Tests.TC_ipa_osmo_pcu_anr_fwd.merged Generated BTS_Tests.TC_ipa_rsl_connect_nack.merged Generated BTS_Tests.TC_long_length.merged Generated BTS_Tests.TC_radio_carrier_opstart_noattr.merged Generated BTS_Tests.TC_short_length.merged Generated BTS_Tests.TC_ts_opstart.merged Generated BTS_Tests.TC_ts_opstart_noattr.merged Generated BTS_Tests.TC_wrong_bts_nr.merged Generated BTS_Tests.TC_wrong_mdisc.merged Generated BTS_Tests.TC_wrong_msgtype.merged Generated BTS_Tests.TC_wrong_obj_class.merged Generated BTS_Tests.TC_wrong_placement.merged Generated BTS_Tests.TC_wrong_seq.merged Generated BTS_Tests.TC_wrong_trx_nr.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-trxcon + docker kill jenkins-ttcn3-bts-test-io_uring-120-trxcon jenkins-ttcn3-bts-test-io_uring-120-trxcon + docker wait jenkins-ttcn3-bts-test-io_uring-120-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-fake_trx + docker kill jenkins-ttcn3-bts-test-io_uring-120-fake_trx jenkins-ttcn3-bts-test-io_uring-120-fake_trx + docker wait jenkins-ttcn3-bts-test-io_uring-120-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-bts + docker kill jenkins-ttcn3-bts-test-io_uring-120-bts jenkins-ttcn3-bts-test-io_uring-120-bts + docker wait jenkins-ttcn3-bts-test-io_uring-120-bts 137 + start_config_hopping + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + cp fh/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc/ + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/Makefile Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc/osmo-bsc.gen.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts/osmo-bts.gen.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=0 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/BTS_Tests.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 0 11 + NET=0 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-0 --ip 172.18.0.11 --ip6 fd02:db8:0::11 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.11 --ip6 fd02:db8:0::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bsc:/data --name jenkins-ttcn3-bts-test-io_uring-120-bsc -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmo-bsc-master /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 1d5323a07d51b33e91df27e72a423c0321551ad7f008bd44c86bc7067099c579 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 0 20 + NET=0 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-0 --ip 172.18.0.20 --ip6 fd02:db8:0::20 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.20 --ip6 fd02:db8:0::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-io_uring-120-bts -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmo-bts-master /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 809efc13be7d3d917fe808a535ed5a863598d2a118215ae8ce8d0d8f3891577a + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 0 21 + NET=0 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-0 --ip 172.18.0.21 --ip6 fd02:db8:0::21 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.21 --ip6 fd02:db8:0::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-io_uring-120-fake_trx -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.0.20 -r 172.18.0.22 --trx TRX1@172.18.0.20:5700/1 --trx TRX2@172.18.0.20:5700/2 --trx TRX3@172.18.0.20:5700/3 >>/data/fake_trx.out 2>&1 b466cf1c2796979b8907b604c98b0fd4238b400e689865b38db1ac513b200f09 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 0 22 + NET=0 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-0 --ip 172.18.0.22 --ip6 fd02:db8:0::22 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.22 --ip6 fd02:db8:0::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-io_uring-120-trxcon -d -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.0.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 6a3bf7793dd5c971f15d410177189430f8669a58363149ee5b277555574cfee8 + start_testsuite hopping + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=hopping + docker_network_params 0 10 + NET=0 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-0 --ip 172.18.0.10 --ip6 fd02:db8:0::10 + docker run --rm --network ttcn3-bts-test-0 --ip 172.18.0.10 --ip6 fd02:db8:0::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.0.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-io_uring-120-ttcn3-bts-test -e LIBOSMO_IO_BACKEND=IO_URING --ulimit memlock=-1 --security-opt seccomp=../seccomp_profile.json osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@101ae2a3001c: Unix server socket created successfully. MC@101ae2a3001c: Listening on TCP port 32945. 101ae2a3001c is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests 101ae2a3001c 32945 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@101ae2a3001c: New HC connected from 172.18.0.10 [172.18.0.10]. 101ae2a3001c: Linux 6.1.0-21-amd64 on x86_64. cmtc MC@101ae2a3001c: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@101ae2a3001c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@101ae2a3001c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@101ae2a3001c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@101ae2a3001c: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@101ae2a3001c: Configuration file was processed on all HCs. MC@101ae2a3001c: Creating MTC on host 172.18.0.10. MC@101ae2a3001c: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Tue Sep 10 12:55:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL(4)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL(4)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_est_dchan-RSL-IPA(3)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_est_dchan-RSL(4)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 32, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(6)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(7)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(8)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(9)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(10)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_est_dchan(11)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 2 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11000000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(11)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(12)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 3, hsn := 3 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(12)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(13)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(13)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 0, t3 := 22, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(14)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 45, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(15)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(16)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 32, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(16)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(17)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 32, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(17)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(18)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 4, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(18)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(19)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 45, t2 := 5 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(19)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(20)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 4, t2 := 13 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(20)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(21)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(21)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(22)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(22)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(23)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 45, t2 := 24 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(23)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(24)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 1, t3 := 4, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(24)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(25)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '01100110'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@101ae2a3001c: Received IMM.ASS for our RACH! TC_est_dchan(25)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@101ae2a3001c: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Tue Sep 10 12:56:04 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13137589) Waiting for packet dumper to finish... 1 (prev_count=13137589, count=13143410) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Tue Sep 10 12:56:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL(27)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL(27)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL(27)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1031 IPA-CTRL-CLI-IPA(28)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_stress-RSL(27)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@101ae2a3001c: Test case TC_chan_act_stress finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Tue Sep 10 12:56:11 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9303348) Waiting for packet dumper to finish... 1 (prev_count=9303348, count=9303843) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Tue Sep 10 12:56:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL(31)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: Established a new IPA connection (conn_id=4) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL(31)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_react(33)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL-IPA(30)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_react-RSL(31)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@101ae2a3001c: Test case TC_chan_act_react finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Tue Sep 10 12:56:17 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1956851) Waiting for packet dumper to finish... 1 (prev_count=1956851, count=1957346) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Tue Sep 10 12:56:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@101ae2a3001c: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@101ae2a3001c: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Tue Sep 10 12:56:22 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1936906) Waiting for packet dumper to finish... 1 (prev_count=1936906, count=1937401) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Tue Sep 10 12:56:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(51)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(52)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@101ae2a3001c: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Tue Sep 10 12:56:28 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2057878) Waiting for packet dumper to finish... 1 (prev_count=2057878, count=2058373) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Tue Sep 10 12:56:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL(55)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_deact_sacch-RSL(55)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL(55)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(57)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(58)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(59)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(60)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(61)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_deact_sacch(62)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(62)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(63)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(63)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(64)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(65)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(66)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(67)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(67)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(68)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(68)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(69)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(69)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(70)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(70)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(71)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(71)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(72)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(72)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(73)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(73)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(74)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(74)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(75)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(75)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(76)@101ae2a3001c: setverdict(pass): none -> pass TC_deact_sacch(76)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@101ae2a3001c: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_deact_sacch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Tue Sep 10 12:58:04 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=78952830) Waiting for packet dumper to finish... 1 (prev_count=78952830, count=78958651) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Tue Sep 10 12:58:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL(78)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL(78)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_filling-RSL(78)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL(78)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(80)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(81)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(82)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(83)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(84)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_filling(85)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(85)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(86)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(86)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(87)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(88)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(89)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(90)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(90)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(91)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(91)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(92)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(92)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(93)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(93)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(94)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(94)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(95)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(95)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(96)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(96)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(97)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(97)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(98)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(98)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(99)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_filling(99)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@101ae2a3001c: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_filling finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Tue Sep 10 12:58:23 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11402288) Waiting for packet dumper to finish... 1 (prev_count=11402288, count=11408109) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Tue Sep 10 12:58:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL(101)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL(101)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_info_mod(108)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(109)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(113)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(114)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(115)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(116)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(117)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(118)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(119)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(120)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(121)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(122)@101ae2a3001c: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@101ae2a3001c: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@101ae2a3001c: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@101ae2a3001c: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_info_mod finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Tue Sep 10 12:58:58 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27181373) Waiting for packet dumper to finish... 1 (prev_count=27181373, count=27181868) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Tue Sep 10 12:59:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(126)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(128)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(129)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(130)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi(131)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(131)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(132)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(132)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(133)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(134)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(135)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(136)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(136)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi(136)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(137)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(137)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(138)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(138)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(139)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(139)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(140)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(140)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(141)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(141)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(142)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(142)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(143)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(144)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(144)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(145)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi(145)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@101ae2a3001c: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_multi finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Tue Sep 10 13:00:28 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=75777944) Waiting for packet dumper to finish... 1 (prev_count=75777944, count=75778439) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Tue Sep 10 13:00:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL(147)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL(147)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL(147)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi_chg(154)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(155)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(159)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(160)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(161)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(162)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(163)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(164)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(165)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(166)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(167)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(168)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@101ae2a3001c: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Tue Sep 10 13:02:08 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=80948960) Waiting for packet dumper to finish... 1 (prev_count=80948960, count=80949455) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Tue Sep 10 13:02:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(172)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(173)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(174)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(175)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(176)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_chan_act(177)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(177)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(178)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(178)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(179)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(180)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(181)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(182)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(182)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(183)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(183)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(184)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(184)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(185)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(185)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(186)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(186)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(187)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(187)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(188)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(188)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(189)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(189)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(190)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(190)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(191)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act(191)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@101ae2a3001c: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_chan_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Tue Sep 10 13:02:43 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24004397) Waiting for packet dumper to finish... 1 (prev_count=24004397, count=24004892) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Tue Sep 10 13:02:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@101ae2a3001c: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Tue Sep 10 13:03:18 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=28031551) Waiting for packet dumper to finish... 1 (prev_count=28031551, count=28032046) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Tue Sep 10 13:03:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: Timeout waiting for SACCH '66AB55DE71479AED430ACA0BE2D443C4A447BF'O TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@101ae2a3001c: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Tue Sep 10 13:04:10 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=44134529) Waiting for packet dumper to finish... 1 (prev_count=44134529, count=44135152) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Tue Sep 10 13:04:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL(207)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_content-RSL-IPA(206)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@101ae2a3001c: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_content finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Tue Sep 10 13:04:24 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11887419) Waiting for packet dumper to finish... 1 (prev_count=11887419, count=11893240) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Tue Sep 10 13:04:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL(210)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL(210)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL(210)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL(210)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@101ae2a3001c: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1744 IPA-CTRL-CLI-IPA(211)@101ae2a3001c: Final verdict of PTC: none TC_rach_content_emerg-RSL(210)@101ae2a3001c: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_content_emerg finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Tue Sep 10 13:04:39 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11792069) Waiting for packet dumper to finish... 1 (prev_count=11792069, count=11797453) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Tue Sep 10 13:04:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_count-RSL(213)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL(213)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_count-RSL(213)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL(213)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL(213)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 16, t2 := 24 } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@101ae2a3001c: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_count finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Tue Sep 10 13:04:58 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15185954) Waiting for packet dumper to finish... 1 (prev_count=15185954, count=15186449) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Tue Sep 10 13:05:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL(216)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL(216)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL(216)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL(216)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 4, t2 := 19 } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 14, t2 := 14 } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@101ae2a3001c: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_max_ta finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Tue Sep 10 13:05:13 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10598567) Waiting for packet dumper to finish... 1 (prev_count=10598567, count=10599062) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Tue Sep 10 13:05:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL(219)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL(219)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL(219)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_rach-RSL-IPA(218)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ho_rach-RSL(219)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL(219)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL-IPA(218)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(221)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(222)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(223)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(224)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(224)@101ae2a3001c: Final verdict of PTC: pass TC_ho_rach(225)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(225)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_ho_rach(225)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_ho_rach(226)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(226)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(226)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(227)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(227)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(227)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(228)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(229)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(230)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(231)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(231)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(231)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(232)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(232)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(232)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(233)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(233)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_ho_rach(233)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(234)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(234)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(235)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(235)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(236)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(236)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(237)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(237)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(238)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(238)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(239)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(239)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(240)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_rach(240)@101ae2a3001c: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@101ae2a3001c: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_ho_rach finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Tue Sep 10 13:05:22 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4809368) Waiting for packet dumper to finish... 1 (prev_count=4809368, count=4809863) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Tue Sep 10 13:05:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL(242)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL(242)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@101ae2a3001c: setverdict(pass): none -> pass TC_ho_physical_info(244)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 138, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 138, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@101ae2a3001c: Sending handover Access Burst TC_ho_physical_info(244)@101ae2a3001c: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@101ae2a3001c: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(243)@101ae2a3001c: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@101ae2a3001c: Final verdict of PTC: none TC_ho_physical_info(244)@101ae2a3001c: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 138, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 138, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@101ae2a3001c: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 138, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Tue Sep 10 13:05:30 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4340567) Waiting for packet dumper to finish... 1 (prev_count=4340567, count=4341062) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Tue Sep 10 13:05:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@101ae2a3001c: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Tue Sep 10 13:05:46 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5516370) Waiting for packet dumper to finish... 1 (prev_count=5516370, count=5516865) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Tue Sep 10 13:05:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@101ae2a3001c: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Tue Sep 10 13:05:57 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4201869) Waiting for packet dumper to finish... 1 (prev_count=4201869, count=4202364) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Tue Sep 10 13:06:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL(252)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL(252)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL(252)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rach_load_count-RSL(252)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@101ae2a3001c: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 33, t2 := 16 } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@101ae2a3001c: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rach_load_count finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Tue Sep 10 13:06:22 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17796564) Waiting for packet dumper to finish... 1 (prev_count=17796564, count=17797059) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Tue Sep 10 13:06:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@101ae2a3001c: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Tue Sep 10 13:06:36 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11496257) Waiting for packet dumper to finish... 1 (prev_count=11496257, count=11496752) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Tue Sep 10 13:06:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@101ae2a3001c: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Tue Sep 10 13:06:49 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11496049) Waiting for packet dumper to finish... 1 (prev_count=11496049, count=11501433) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Tue Sep 10 13:06:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh(265)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh(265)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 265 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh-RSL(263)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh(265)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(264)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh(265): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail'. Tue Sep 10 13:06:56 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2825110) Waiting for packet dumper to finish... 1 (prev_count=2825110, count=2825605) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Tue Sep 10 13:06:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 269 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_facch-RSL(267)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(268)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(266)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh_facch(269)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(266): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(267): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(268): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_facch(269): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh_facch finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail'. Tue Sep 10 13:07:02 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_facch fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2823431) Waiting for packet dumper to finish... 1 (prev_count=2823431, count=2823926) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Tue Sep 10 13:07:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 273 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_toa256-RSL(271)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(272)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256(273)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(270): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(271): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(272): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_toa256(273): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail'. Tue Sep 10 13:07:09 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2819448) Waiting for packet dumper to finish... 1 (prev_count=2819448, count=2824960) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Tue Sep 10 13:07:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(277)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_meas_res_sign_tchf(278)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(278)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(278)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(278)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(278)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_tchf(278)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 278 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchf-RSL-IPA(274)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL(275)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(276)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchf(278)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(274): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchf-RSL(275): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(276): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchf(277): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchf(278): fail (pass -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_sign_tchf finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail'. Tue Sep 10 13:07:24 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchf fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12269013) Waiting for packet dumper to finish... 1 (prev_count=12269013, count=12274834) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Tue Sep 10 13:07:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh(282)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(282)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(282)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(282)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(282)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh(282)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 282 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(281)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL(280)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(279)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchh(282)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(279): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchh-RSL(280): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(281): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchh(282): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_sign_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail'. Tue Sep 10 13:07:30 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2741156) Waiting for packet dumper to finish... 1 (prev_count=2741156, count=2741651) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Tue Sep 10 13:07:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@101ae2a3001c: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@101ae2a3001c: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@101ae2a3001c: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(289)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(289)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(284)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(283)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(285)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(283): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(284): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(285): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch4(286): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch4(287): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch4(288): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch4(289): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Tue Sep 10 13:08:08 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32209549) Waiting for packet dumper to finish... 1 (prev_count=32209549, count=32210044) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Tue Sep 10 13:08:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(293)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(293)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 293 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_sdcch8-RSL(291)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(292)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(290)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_sdcch8(293)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(290): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(291): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(292): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_sdcch8(293): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_sign_sdcch8 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail'. Tue Sep 10 13:08:16 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_sdcch8 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3767391) Waiting for packet dumper to finish... 1 (prev_count=3767391, count=3767886) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Tue Sep 10 13:08:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@101ae2a3001c: Test Component 297 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh_toa256-RSL(295)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256(297)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(296)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(294)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(294): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(295): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(296): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_sign_tchh_toa256(297): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@101ae2a3001c: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail'. Tue Sep 10 13:08:23 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2740382) Waiting for packet dumper to finish... 1 (prev_count=2740382, count=2740877) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Tue Sep 10 13:08:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(301)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(299)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(298)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(300)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(298): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(299): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(300): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(301): pass (none -> pass) MTC@101ae2a3001c: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Tue Sep 10 13:08:37 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11509668) Waiting for packet dumper to finish... 1 (prev_count=11509668, count=11510163) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Tue Sep 10 13:08:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(305)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(303)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(304)@101ae2a3001c: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(302)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(302): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(303): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(304): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(305): pass (none -> pass) MTC@101ae2a3001c: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Tue Sep 10 13:08:50 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8715076) Waiting for packet dumper to finish... 1 (prev_count=8715076, count=8715571) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Tue Sep 10 13:08:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=20 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(309)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(307)@101ae2a3001c: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(306)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(308)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(306): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(307): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(308): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(309): pass (none -> pass) MTC@101ae2a3001c: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Tue Sep 10 13:09:02 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7925243) Waiting for packet dumper to finish... 1 (prev_count=7925243, count=7925738) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Tue Sep 10 13:09:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=0 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=0 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=0 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=0 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=0 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=0 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=8 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=8 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=8 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=8 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=16 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=16 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=16 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=16 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=18 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=24 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=24 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=24 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=24 TC_tx_power_down_bcch(313)@101ae2a3001c: Received rx_level=30 TC_tx_power_down_bcch(313)@101ae2a3001c: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: IPA: Closed TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(310)@101ae2a3001c: Final verdict of PTC: none TC_tx_power_down_bcch(313)@101ae2a3001c: setverdict(pass): none -> pass TC_tx_power_down_bcch(313)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(311)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(312)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(310): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_down_bcch-RSL(311): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(312): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_down_bcch(313): pass (none -> pass) MTC@101ae2a3001c: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Tue Sep 10 13:09:18 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7198653) Waiting for packet dumper to finish... 1 (prev_count=7198653, count=7199148) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Tue Sep 10 13:09:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=18 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=22 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=14 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=6 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=0 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=8 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=16 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=24 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Received rx_level=30 TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 317 has requested to stop MTC. Terminating current testcase execution. TC_tx_power_ramp_adm_state_change-RSL(315)@101ae2a3001c: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change(317)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" IPA-CTRL-CLI-IPA(316)@101ae2a3001c: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(314)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(314): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(315): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(316): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tx_power_ramp_adm_state_change(317): fail (none -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail'. Tue Sep 10 13:09:43 UTC 2024 ------ BTS_Tests.TC_tx_power_ramp_adm_state_change fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18564039) Waiting for packet dumper to finish... 1 (prev_count=18564039, count=18564534) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Tue Sep 10 13:09:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(321)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(321)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(321)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(319)@101ae2a3001c: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(318)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(320)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(318): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(319): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(320): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_bs_pwr_static_ass(321): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Tue Sep 10 13:09:50 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2848729) Waiting for packet dumper to finish... 1 (prev_count=2848729, count=2849224) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Tue Sep 10 13:09:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(325)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(325)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(325)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(323)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(324)@101ae2a3001c: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(322)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(322): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(323): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(324): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(325): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Tue Sep 10 13:09:57 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2852297) Waiting for packet dumper to finish... 1 (prev_count=2852297, count=2852792) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Tue Sep 10 13:10:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(329)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(329)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(329)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Power level := 15does not match the signaled (RSL) power level := 0", new component reason: "Power level := 15does not match the signaled (RSL) power level := 0" TC_rsl_ms_pwr_ctrl(329)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3859 MC@101ae2a3001c: Test Component 329 has requested to stop MTC. Terminating current testcase execution. TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: Dynamic test case error: Sending data on the connection of port IPA_RSL_PORT to 327:IPA_PT failed. (Broken pipe) TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: setverdict(error): none -> error TC_rsl_ms_pwr_ctrl-RSL(327)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl(329)@101ae2a3001c: Final verdict of PTC: fail reason: "Power level := 15does not match the signaled (RSL) power level := 0" IPA-CTRL-CLI-IPA(328)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(326)@101ae2a3001c: Final verdict of PTC: error MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(326): error (none -> error) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(327): none (error -> error) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(328): none (error -> error) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_ctrl(329): fail (error -> error) reason: "Power level := 15does not match the signaled (RSL) power level := 0" MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: error MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl error'. Tue Sep 10 13:10:03 UTC 2024 ------ BTS_Tests.TC_rsl_ms_pwr_ctrl error ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2397986) Waiting for packet dumper to finish... 1 (prev_count=2397986, count=2398481) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl error' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Tue Sep 10 13:10:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(333)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(331)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(330)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(332)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(330): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(331): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(332): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(333): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Tue Sep 10 13:10:19 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13984974) Waiting for packet dumper to finish... 1 (prev_count=13984974, count=13990358) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Tue Sep 10 13:10:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(337)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(335)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(336)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(334): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(335): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(336): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(337): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Tue Sep 10 13:10:35 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13993813) Waiting for packet dumper to finish... 1 (prev_count=13993813, count=13994308) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Tue Sep 10 13:10:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(341)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(339)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(338)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(340)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(338): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(339): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(340): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(341): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Tue Sep 10 13:11:00 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24649186) Waiting for packet dumper to finish... 1 (prev_count=24649186, count=24649681) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Tue Sep 10 13:11:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(345)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(343)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(342)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(344)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(342): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(343): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(344): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(345): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Tue Sep 10 13:11:16 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13982819) Waiting for packet dumper to finish... 1 (prev_count=13982819, count=13983314) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Tue Sep 10 13:11:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(349)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(347)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(348)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(346): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(347): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(348): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(349): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Tue Sep 10 13:11:29 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9623285) Waiting for packet dumper to finish... 1 (prev_count=9623285, count=9623780) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Tue Sep 10 13:11:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(353)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(351)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(350)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(352)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(350): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(351): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(352): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(353): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Tue Sep 10 13:11:44 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13546473) Waiting for packet dumper to finish... 1 (prev_count=13546473, count=13552294) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Tue Sep 10 13:11:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(357)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(357)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(357)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(357)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(357)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(358)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(358)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(358)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(358)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(358)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(359)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(359)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(359)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(359)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(359)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(360)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(360)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(360)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(360)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(355)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(356)@101ae2a3001c: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(354)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(354): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(355): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(356): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(357): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(358): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(359): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(360): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Tue Sep 10 13:11:52 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3460197) Waiting for packet dumper to finish... 1 (prev_count=3460197, count=3460692) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Tue Sep 10 13:11:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(364)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(364)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(364)@101ae2a3001c: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(364)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(364)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(364)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(362)@101ae2a3001c: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(361)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(363)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(361): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(362): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(363): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_chan_initial_ta(364): pass (none -> pass) MTC@101ae2a3001c: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Tue Sep 10 13:11:57 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2404255) Waiting for packet dumper to finish... 1 (prev_count=2404255, count=2404750) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Tue Sep 10 13:12:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(366)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(368)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_modify_encr(368)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(368)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_rsl_modify_encr(368)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(369)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_modify_encr(369)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_rsl_modify_encr(369)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(370)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_modify_encr(370)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_rsl_modify_encr(370)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(371)@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_modify_encr(371)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_rsl_modify_encr(371)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(371)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(366)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(367)@101ae2a3001c: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(365)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(365): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_modify_encr-RSL(366): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(367): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_modify_encr(368): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_modify_encr(369): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_modify_encr(370): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_modify_encr(371): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Tue Sep 10 13:12:16 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13205128) Waiting for packet dumper to finish... 1 (prev_count=13205128, count=13205623) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Tue Sep 10 13:12:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(373)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(374)@101ae2a3001c: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(372)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(372): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(373): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(374): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Tue Sep 10 13:12:35 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14421681) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Tue Sep 10 13:12:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL(376)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL(376)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL(376)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(376)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(376)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(376)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL(376)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(376)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(376)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(376)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(376)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(376)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(376)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(376)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(376)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(376)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_conn_fail_crit(378)@101ae2a3001c: setverdict(pass): none -> pass TC_conn_fail_crit(378)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(378)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(378)@101ae2a3001c: Final verdict of PTC: pass TC_conn_fail_crit-RSL(376)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(377)@101ae2a3001c: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(375)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(375): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_conn_fail_crit-RSL(376): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(377): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_conn_fail_crit(378): pass (none -> pass) MTC@101ae2a3001c: Test case TC_conn_fail_crit finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Tue Sep 10 13:13:06 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24683279) Waiting for packet dumper to finish... 1 (prev_count=24683279, count=24683774) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Tue Sep 10 13:13:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: num_paging_sent=271 rcvd_msgs=171 rcvd_ids=271 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(380)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(381)@101ae2a3001c: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(379)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(379): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_paging_imsi_80percent-RSL(380): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(381): none (pass -> pass) MTC@101ae2a3001c: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Tue Sep 10 13:13:33 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21731768) Waiting for packet dumper to finish... 1 (prev_count=21731768, count=21732263) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Tue Sep 10 13:13:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: num_paging_sent=543 rcvd_msgs=173 rcvd_ids=543 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(383)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(384)@101ae2a3001c: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(382)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(382): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_paging_tmsi_80percent-RSL(383): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(384): none (pass -> pass) MTC@101ae2a3001c: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Tue Sep 10 13:14:00 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22105963) Waiting for packet dumper to finish... 1 (prev_count=22105963, count=22106458) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Tue Sep 10 13:14:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: num_paging_sent=679 rcvd_msgs=271 rcvd_ids=538 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(386)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(387)@101ae2a3001c: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(385)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(385): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_paging_imsi_200percent-RSL(386): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(387): none (pass -> pass) MTC@101ae2a3001c: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Tue Sep 10 13:14:39 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33546478) Waiting for packet dumper to finish... 1 (prev_count=33546478, count=33551862) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Tue Sep 10 13:14:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=877 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(389)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(390)@101ae2a3001c: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(388)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(388): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_paging_tmsi_200percent-RSL(389): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(390): none (pass -> pass) MTC@101ae2a3001c: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Tue Sep 10 13:15:12 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30006486) Waiting for packet dumper to finish... 1 (prev_count=30006486, count=30006981) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Tue Sep 10 13:15:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(392)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(393)@101ae2a3001c: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(391)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(391): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_protocol_error-RSL(392): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(393): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Tue Sep 10 13:15:17 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1923457) Waiting for packet dumper to finish... 1 (prev_count=1923457, count=1923952) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Tue Sep 10 13:15:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(396)@101ae2a3001c: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL(395)@101ae2a3001c: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(394)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(394): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_mand_ie_error-RSL(395): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(396): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Tue Sep 10 13:15:23 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1925964) Waiting for packet dumper to finish... 1 (prev_count=1925964, count=1926459) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Tue Sep 10 13:15:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(398)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(399)@101ae2a3001c: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(397)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(397): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rsl_ie_content_error-RSL(398): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(399): none (pass -> pass) MTC@101ae2a3001c: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Tue Sep 10 13:15:29 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1921978) Waiting for packet dumper to finish... 1 (prev_count=1921978, count=1922473) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Tue Sep 10 13:15:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL(401)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(401)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(401)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(401)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL(401)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(401)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_default-RSL(401)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(401)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL(401)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(401)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(401)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(401)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(401)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_si_sched_default-RSL(401)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(401)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(401)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: "TC_si_sched_default": TC=0 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_default": TC=1 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_default": TC=2 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_default": TC=3 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_default": TC=4 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_default": TC=5 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_default": TC=6 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_default": TC=7 has #of SI=4 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(401)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(402)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(400)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_default-RSL-IPA(400): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_default-RSL(401): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(402): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_default finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Tue Sep 10 13:15:42 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9170765) Waiting for packet dumper to finish... 1 (prev_count=9170765, count=9171260) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Tue Sep 10 13:15:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(404)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(404)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(404)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(404)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(404)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(404)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(404)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL(404)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL(404)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(404)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_si_sched_1-RSL(404)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(404)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(404)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_si_sched_1-RSL(404)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(404)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(404)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: "TC_si_sched_1": TC=0 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_1": TC=1 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_1": TC=2 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_1": TC=3 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_1": TC=4 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_1": TC=5 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_1": TC=6 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_1": TC=7 has #of SI=4 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(404)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(405)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(403)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_1-RSL-IPA(403): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_1-RSL(404): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(405): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_1 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Tue Sep 10 13:15:56 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9174356) Waiting for packet dumper to finish... 1 (prev_count=9174356, count=9174851) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Tue Sep 10 13:15:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(407)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(407)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(407)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(407)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(407)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(407)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(407)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(407)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(407)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(407)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(407)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL(407)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(407)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(407)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL(407)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(407)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=4 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(407)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(406)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(408)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(406): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2bis-RSL(407): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(408): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_2bis finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Tue Sep 10 13:16:09 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9170386) Waiting for packet dumper to finish... 1 (prev_count=9170386, count=9175770) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Tue Sep 10 13:16:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(410)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(410)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(410)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(410)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL(410)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(410)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL(410)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(410)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL(410)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(410)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL(410)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(410)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(410)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(410)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(410)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(410)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(410)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(411)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(409)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(409): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2ter-RSL(410): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(411): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_2ter finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Tue Sep 10 13:16:23 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9168867) Waiting for packet dumper to finish... 1 (prev_count=9168867, count=9169362) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Tue Sep 10 13:16:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(413)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(414)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(412)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(412): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(413): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(414): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Tue Sep 10 13:16:37 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9165421) Waiting for packet dumper to finish... 1 (prev_count=9165421, count=9165916) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Tue Sep 10 13:16:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(416)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(416)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(416)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL(416)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(416)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(416)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(416)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL(416)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(416)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(416)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL(416)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(416)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(416)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL(416)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL(416)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(416)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=6 has #of SI=9 MTC@101ae2a3001c: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(416)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(417)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(415)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(415): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_2quater-RSL(416): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(417): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_2quater finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Tue Sep 10 13:16:58 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16393421) Waiting for packet dumper to finish... 1 (prev_count=16393421, count=16393916) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Tue Sep 10 13:17:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(419)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(419)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(419)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(419)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL(419)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL(419)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_13-RSL(419)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(419)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL(419)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(419)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(419)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL(419)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(419)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(419)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL(419)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(419)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: "TC_si_sched_13": TC=0 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_13": TC=1 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_13": TC=2 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_13": TC=3 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_13": TC=4 has #of SI=5 MTC@101ae2a3001c: "TC_si_sched_13": TC=5 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_13": TC=6 has #of SI=4 MTC@101ae2a3001c: "TC_si_sched_13": TC=7 has #of SI=4 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: not-bccch-extended MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(419)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(420)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(418)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_13-RSL-IPA(418): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_13-RSL(419): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(420): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_13 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Tue Sep 10 13:17:12 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9174756) Waiting for packet dumper to finish... 1 (prev_count=9174756, count=9175251) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Tue Sep 10 13:17:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@101ae2a3001c: Protocol discriminator is not RR (!= '0110'B): 0 MTC@101ae2a3001c: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@101ae2a3001c: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@101ae2a3001c: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@101ae2a3001c: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@101ae2a3001c: not-bccch-extended MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(422)@101ae2a3001c: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(423)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(421): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(422): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(423): none (pass -> pass) MTC@101ae2a3001c: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Tue Sep 10 13:17:34 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16409796) Waiting for packet dumper to finish... 1 (prev_count=16409796, count=16410291) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Tue Sep 10 13:17:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(427)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(427)@101ae2a3001c: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(425)@101ae2a3001c: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(424)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(426)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(424): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(425): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(426): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_dlcx_not_active(427): pass (none -> pass) MTC@101ae2a3001c: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Tue Sep 10 13:17:39 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1932597) Waiting for packet dumper to finish... 1 (prev_count=1932597, count=1937981) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Tue Sep 10 13:17:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(431)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(431)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(431)@101ae2a3001c: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(429)@101ae2a3001c: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(428)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(430)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(428): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(429): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(430): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_twice_not_active(431): pass (none -> pass) MTC@101ae2a3001c: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Tue Sep 10 13:17:45 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1938620) Waiting for packet dumper to finish... 1 (prev_count=1938620, count=1944004) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Tue Sep 10 13:17:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(435)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(435)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(435)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(435)@101ae2a3001c: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(434)@101ae2a3001c: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(432): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(433): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(434): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(435): pass (none -> pass) MTC@101ae2a3001c: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Tue Sep 10 13:17:50 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1944493) Waiting for packet dumper to finish... 1 (prev_count=1944493, count=1944988) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Tue Sep 10 13:17:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(439)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(439)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(439)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(439)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(439)@101ae2a3001c: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437)@101ae2a3001c: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(438)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(436): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(437): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(438): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(439): pass (none -> pass) MTC@101ae2a3001c: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Tue Sep 10 13:17:56 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1949939) Waiting for packet dumper to finish... 1 (prev_count=1949939, count=1950434) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Tue Sep 10 13:17:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(443)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(443)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_sdcch_not_active(444)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(444)@101ae2a3001c: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(441)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(442)@101ae2a3001c: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(440)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(440): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(441): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(442): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(443): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(444): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Tue Sep 10 13:18:02 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1946744) Waiting for packet dumper to finish... 1 (prev_count=1946744, count=1947239) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Tue Sep 10 13:18:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(448)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(448)@101ae2a3001c: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(448)@101ae2a3001c: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_ack_addr(449)@101ae2a3001c: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(449)@101ae2a3001c: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(449)@101ae2a3001c: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL(446)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(447)@101ae2a3001c: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL-IPA(445)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(445): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(446): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(447): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_ack_addr(448): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@101ae2a3001c: Local verdict of PTC TC_ipa_crcx_ack_addr(449): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@101ae2a3001c: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Tue Sep 10 13:18:07 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1958808) Waiting for packet dumper to finish... 1 (prev_count=1958808, count=1959303) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Tue Sep 10 13:18:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: Rx LOAD_IND MTC@101ae2a3001c: num_paging_sent=679 rcvd_msgs=271 rcvd_ids=538 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(451)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(452)@101ae2a3001c: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(450)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(450): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(451): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(452): none (pass -> pass) MTC@101ae2a3001c: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Tue Sep 10 13:18:46 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33427203) Waiting for packet dumper to finish... 1 (prev_count=33427203, count=33427698) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Tue Sep 10 13:18:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL(454)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL(454)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL(454)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(454)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(454)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(454)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(454)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(454)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL(454)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(454)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_act_req-RSL(454)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(454)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(454)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_act_req-RSL(454)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(454)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(454)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_act_req-RSL(454)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(455)@101ae2a3001c: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(453)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req-RSL-IPA(453): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req-RSL(454): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(455): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_act_req finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Tue Sep 10 13:18:55 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3328397) Waiting for packet dumper to finish... 1 (prev_count=3328397, count=3328892) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Tue Sep 10 13:18:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(457)@101ae2a3001c: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(456)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(458)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(456): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(457): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(458): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Tue Sep 10 13:19:06 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4681214) Waiting for packet dumper to finish... 1 (prev_count=4681214, count=4681709) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Tue Sep 10 13:19:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(460)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(461)@101ae2a3001c: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(459)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(459): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(460): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(461): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Tue Sep 10 13:19:18 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4681374) Waiting for packet dumper to finish... 1 (prev_count=4681374, count=4681869) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Tue Sep 10 13:19:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(463)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(464)@101ae2a3001c: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(462)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(462): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(463): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(464): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Tue Sep 10 13:19:30 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4673986) Waiting for packet dumper to finish... 1 (prev_count=4673986, count=4679370) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Tue Sep 10 13:19:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL(466)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(466)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(466)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(466)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL(466)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL(466)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL(466)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL(466)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(466)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(466)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL(466)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(466)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(466)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(466)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(466)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(466)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(466)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(467)@101ae2a3001c: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(465)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(465): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_deact_req-RSL(466): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(467): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_deact_req finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Tue Sep 10 13:19:43 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5633066) Waiting for packet dumper to finish... 1 (prev_count=5633066, count=5633561) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Tue Sep 10 13:19:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(469)@101ae2a3001c: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(468)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(470)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(468): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(469): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(470): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Tue Sep 10 13:19:53 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3763175) Waiting for packet dumper to finish... 1 (prev_count=3763175, count=3763798) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Tue Sep 10 13:19:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(472)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(473)@101ae2a3001c: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(471)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(471): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ver_si1-RSL(472): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(473): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Tue Sep 10 13:19:58 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1934163) Waiting for packet dumper to finish... 1 (prev_count=1934163, count=1934658) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Tue Sep 10 13:20:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL(475)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(475)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(476)@101ae2a3001c: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(474)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(474): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ver_si3-RSL(475): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(476): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Tue Sep 10 13:20:04 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1938908) Waiting for packet dumper to finish... 1 (prev_count=1938908, count=1944857) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Tue Sep 10 13:20:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@101ae2a3001c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(479)@101ae2a3001c: Final verdict of PTC: none TC_pcu_ver_si13-RSL(478)@101ae2a3001c: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(477)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(477): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ver_si13-RSL(478): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(479): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Tue Sep 10 13:20:10 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935206) Waiting for packet dumper to finish... 1 (prev_count=1935206, count=1940590) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Tue Sep 10 13:20:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(481)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(482)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(480)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(480): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(481): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(482): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Tue Sep 10 13:20:18 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4727081) Waiting for packet dumper to finish... 1 (prev_count=4727081, count=4727576) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Tue Sep 10 13:20:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(484)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(485)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(483)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(483): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(484): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(485): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Tue Sep 10 13:20:27 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5193817) Waiting for packet dumper to finish... 1 (prev_count=5193817, count=5194312) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Tue Sep 10 13:20:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(487)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(488)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(486)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(486): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(487): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(488): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Tue Sep 10 13:20:41 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8439281) Waiting for packet dumper to finish... 1 (prev_count=8439281, count=8439776) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Tue Sep 10 13:20:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(490)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(491)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(489)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(489): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(490): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(491): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Tue Sep 10 13:20:55 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8441333) Waiting for packet dumper to finish... 1 (prev_count=8441333, count=8446717) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Tue Sep 10 13:20:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL(493)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(492)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(494)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(492): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(493): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(494): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Tue Sep 10 13:21:08 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8442007) Waiting for packet dumper to finish... 1 (prev_count=8442007, count=8442502) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Tue Sep 10 13:21:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(496)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(497)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(495)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(495): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(496): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(497): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Tue Sep 10 13:21:19 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5277863) Waiting for packet dumper to finish... 1 (prev_count=5277863, count=5283247) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Tue Sep 10 13:21:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(499)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(499)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(499)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(499)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(499)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(499)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(499)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(499)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(499)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(499)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(499)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(499)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(499)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(499)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(499)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(499)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: Sending an Access Burst towards the L1CTL interface MTC@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL_RACH_CONF", new component reason: "Timeout waiting for L1CTL_RACH_CONF" TC_pcu_ptcch-RSL(499)@101ae2a3001c: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(498)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(500)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: fail reason: "Timeout waiting for L1CTL_RACH_CONF" MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(498): none (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ptcch-RSL(499): none (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(500): none (fail -> fail) MTC@101ae2a3001c: Test case TC_pcu_ptcch finished. Verdict: fail reason: Timeout waiting for L1CTL_RACH_CONF MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail'. Tue Sep 10 13:21:30 UTC 2024 ------ BTS_Tests.TC_pcu_ptcch fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6179012) Waiting for packet dumper to finish... 1 (prev_count=6179012, count=6184396) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Tue Sep 10 13:21:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(502)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(503)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(501)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(501): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_agch-RSL(502): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(503): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Tue Sep 10 13:21:38 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4723481) Waiting for packet dumper to finish... 1 (prev_count=4723481, count=4723976) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Tue Sep 10 13:21:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(505)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(506)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(504)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(504): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_pch-RSL(505): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(506): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Tue Sep 10 13:21:47 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4719670) Waiting for packet dumper to finish... 1 (prev_count=4719670, count=4720165) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Tue Sep 10 13:21:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: IMM.ASS was sent on PCH MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(508)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(509)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(507)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(507): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(508): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(509): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Tue Sep 10 13:21:53 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2161790) Waiting for packet dumper to finish... 1 (prev_count=2161790, count=2162285) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Tue Sep 10 13:21:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: IMM.ASS was sent on AGCH MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(511)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(512)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(510)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(510): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(511): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(512): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Tue Sep 10 13:21:58 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2118215) Waiting for packet dumper to finish... 1 (prev_count=2118215, count=2118710) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Tue Sep 10 13:22:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL(514)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL(514)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(514)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(514)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(514)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL(514)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(514)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(514)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(514)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(514)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(514)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(514)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(514)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(514)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(514)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(514)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(514)@101ae2a3001c: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(513)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(515)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(513): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rach_content-RSL(514): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(515): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_rach_content finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Tue Sep 10 13:22:13 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11163270) Waiting for packet dumper to finish... 1 (prev_count=11163270, count=11163765) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Tue Sep 10 13:22:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(517)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(518)@101ae2a3001c: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(516)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(516): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_ext_rach_content-RSL(517): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(518): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Tue Sep 10 13:22:27 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11159102) Waiting for packet dumper to finish... 1 (prev_count=11159102, count=11159597) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Tue Sep 10 13:22:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Testing C/I=-256 cB MTC@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"", new component reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":5991 TC_pcu_data_ind_lqual_cb-RSL(520)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(521)@101ae2a3001c: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(519)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(519): none (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(520): none (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(521): none (fail -> fail) MTC@101ae2a3001c: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: fail reason: "BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail'. Tue Sep 10 13:22:37 UTC 2024 ------ BTS_Tests.TC_pcu_data_ind_lqual_cb fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5470269) Waiting for packet dumper to finish... 1 (prev_count=5470269, count=5470764) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Tue Sep 10 13:22:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(523)@101ae2a3001c: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(522)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(524)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(522): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(523): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(524): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Tue Sep 10 13:22:42 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2083791) Waiting for packet dumper to finish... 1 (prev_count=2083791, count=2084286) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Tue Sep 10 13:22:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(526)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(526)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(526)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(526)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL(526)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(526)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL(526)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(526)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(526)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(526)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(526)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(526)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(526)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(526)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(526)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(526)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: 1083 fn expired with 251 PCU_TIME.ind MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_time_ind-RSL(526)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(527)@101ae2a3001c: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(525)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(525): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_time_ind-RSL(526): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(527): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_time_ind finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass'. Tue Sep 10 13:22:56 UTC 2024 ====== BTS_Tests.TC_pcu_time_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5805017) Waiting for packet dumper to finish... 1 (prev_count=5805017, count=5805512) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Tue Sep 10 13:22:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL(529)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(529)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(529)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(529)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(529)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(529)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(529)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL(529)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(529)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(529)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL(529)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(529)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(529)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(529)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(529)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(529)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: 1083 fn expired with num_rts_pdtch=251, num_rts_ptcch=10 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(529)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(530)@101ae2a3001c: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(528)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(528): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rts_req-RSL(529): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(530): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_rts_req finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Tue Sep 10 13:23:10 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5771006) Waiting for packet dumper to finish... 1 (prev_count=5771006, count=5776390) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Tue Sep 10 13:23:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL(532)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(532)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL(532)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL(532)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(533)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(534)@101ae2a3001c: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@101ae2a3001c: Test Component 534 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(534)@101ae2a3001c: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(532)@101ae2a3001c: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(531)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(531): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_oml_alert-RSL(532): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(533): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(534): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@101ae2a3001c: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Tue Sep 10 13:23:18 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3332281) Waiting for packet dumper to finish... 1 (prev_count=3332281, count=3332776) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Tue Sep 10 13:23:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(538)@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_rr_suspend(538)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(538)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(538)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(538)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(538)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(538)@101ae2a3001c: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(536)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(537)@101ae2a3001c: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(535)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(535): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rr_suspend-RSL(536): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(537): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_rr_suspend(538): pass (none -> pass) MTC@101ae2a3001c: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Tue Sep 10 13:23:24 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2333966) Waiting for packet dumper to finish... 1 (prev_count=2333966, count=2339787) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Tue Sep 10 13:23:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: BTS has accept()ed connection MTC@101ae2a3001c: BTS has close()d connection MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(540)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(541)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(539)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(539): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(540): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(541): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Tue Sep 10 13:23:30 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1933069) Waiting for packet dumper to finish... 1 (prev_count=1933069, count=1938453) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Tue Sep 10 13:23:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(543)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(542)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(542): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_reconnect-RSL(543): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Tue Sep 10 13:23:37 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2849288) Waiting for packet dumper to finish... 1 (prev_count=2849288, count=2849783) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Tue Sep 10 13:23:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(546)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(547)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(545): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(546): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Tue Sep 10 13:23:46 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4442209) Waiting for packet dumper to finish... 1 (prev_count=4442209, count=4442704) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Tue Sep 10 13:23:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(549)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(550)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(548): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(549): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(550): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Tue Sep 10 13:23:53 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3781130) Waiting for packet dumper to finish... 1 (prev_count=3781130, count=3781625) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Tue Sep 10 13:23:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(552)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(553)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(551)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(551): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(552): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(553): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Tue Sep 10 13:24:02 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4443317) Waiting for packet dumper to finish... 1 (prev_count=4443317, count=4443812) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Tue Sep 10 13:24:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(555)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(554)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(556)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(554): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(555): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(556): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Tue Sep 10 13:24:09 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3787768) Waiting for packet dumper to finish... 1 (prev_count=3787768, count=3793152) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Tue Sep 10 13:24:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL(558)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(559)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(557): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(558): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(559): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Tue Sep 10 13:24:19 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5290572) Waiting for packet dumper to finish... 1 (prev_count=5290572, count=5291195) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Tue Sep 10 13:24:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@101ae2a3001c: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(561)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(562)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(560): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(561): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(562): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Tue Sep 10 13:24:28 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5496913) Waiting for packet dumper to finish... 1 (prev_count=5496913, count=5497536) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Tue Sep 10 13:24:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(564)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(563)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(565)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(563): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(564): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(565): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Tue Sep 10 13:24:36 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2840562) Waiting for packet dumper to finish... 1 (prev_count=2840562, count=2841057) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Tue Sep 10 13:24:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(569)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(569)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(569)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(569)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(567)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(568)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(566)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(566): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(567): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(568): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(569): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Tue Sep 10 13:24:44 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3455815) Waiting for packet dumper to finish... 1 (prev_count=3455815, count=3461636) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Tue Sep 10 13:24:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(573)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(573)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(573)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(573)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(571)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(570)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(572)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(570): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(571): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(572): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_double_act(573): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Tue Sep 10 13:24:50 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1962019) Waiting for packet dumper to finish... 1 (prev_count=1962019, count=1962514) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Tue Sep 10 13:24:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_deact(577)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(577)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(577)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(575)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(576)@101ae2a3001c: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(574)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(574): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(575): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(576): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(577): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Tue Sep 10 13:24:59 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3345817) Waiting for packet dumper to finish... 1 (prev_count=3345817, count=3346312) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Tue Sep 10 13:25:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_tchf_act_nack(581)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(581)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(581)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(581)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579)@101ae2a3001c: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(580)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(578): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(579): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(580): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(581): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Tue Sep 10 13:25:04 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1970207) Waiting for packet dumper to finish... 1 (prev_count=1970207, count=1976028) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Tue Sep 10 13:25:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 1, hsn := 0, maio := 1, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 1, hsn := 6, maio := 1, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@101ae2a3001c: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 1, hsn := 0, maio := 0, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 1, hsn := 6, maio := 0, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@101ae2a3001c: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 1, hsn := 0, maio := 3, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 2, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@101ae2a3001c: Checking timeslot #7 of trx#3: { tsc := 7, hopping := 1, hsn := 0, maio := 2, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(583)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(584)@101ae2a3001c: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(582)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(582): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(583): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(584): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Tue Sep 10 13:25:10 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935011) Waiting for packet dumper to finish... 1 (prev_count=1935011, count=1940395) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Tue Sep 10 13:25:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(587)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL(586)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(585)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(585): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(586): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(587): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Tue Sep 10 13:25:15 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1951245) Waiting for packet dumper to finish... 1 (prev_count=1951245, count=1951740) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Tue Sep 10 13:25:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(589)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(590)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(588)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(588): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(589): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(590): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Tue Sep 10 13:25:21 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1952092) Waiting for packet dumper to finish... 1 (prev_count=1952092, count=1952587) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Tue Sep 10 13:25:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(592)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(593)@101ae2a3001c: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(591)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(591): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(592): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(593): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Tue Sep 10 13:25:27 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1965998) Waiting for packet dumper to finish... 1 (prev_count=1965998, count=1966493) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Tue Sep 10 13:25:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_interf_ind-RSL(595)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Warning: Re-starting timer T, which is already active (running or expired). MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(595)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(596)@101ae2a3001c: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(594)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(594): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_pcu_interf_ind-RSL(595): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(596): none (pass -> pass) MTC@101ae2a3001c: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Tue Sep 10 13:25:37 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5782527) Waiting for packet dumper to finish... 1 (prev_count=5782527, count=5783022) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Tue Sep 10 13:25:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(600)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(600)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(598)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(599)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(597): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(598): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(599): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(600): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Tue Sep 10 13:25:43 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1970730) Waiting for packet dumper to finish... 1 (prev_count=1970730, count=1971225) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Tue Sep 10 13:25:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(604)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(604)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(604)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(602)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(603)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(601): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(602): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(603): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(604): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Tue Sep 10 13:25:49 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1968641) Waiting for packet dumper to finish... 1 (prev_count=1968641, count=1969136) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Tue Sep 10 13:25:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(608)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(608)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(608)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(608)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(606)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(607)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(605): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(606): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(607): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(608): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Tue Sep 10 13:25:54 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1983238) Waiting for packet dumper to finish... 1 (prev_count=1983238, count=1989059) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Tue Sep 10 13:25:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(612)@101ae2a3001c: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(611)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL(610)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(609): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(610): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(611): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(612): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Tue Sep 10 13:26:00 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2044913) Waiting for packet dumper to finish... 1 (prev_count=2044913, count=2050297) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Tue Sep 10 13:26:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(616)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(617)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(616)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(616)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(617)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(617)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(616)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(617)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(614)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(615)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(613): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(614): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(615): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(616): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(617): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Tue Sep 10 13:26:07 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2828218) Waiting for packet dumper to finish... 1 (prev_count=2828218, count=2828713) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Tue Sep 10 13:26:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(621)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(622)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(623)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(621)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(621)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(621)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(622)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(622)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(623)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(623)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(622)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(623)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(628)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(628)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619)@101ae2a3001c: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(620)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(618): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(619): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(620): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(621): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(622): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(623): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(624): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(625): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(626): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(627): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(628): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Tue Sep 10 13:26:14 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2920113) Waiting for packet dumper to finish... 1 (prev_count=2920113, count=2925934) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Tue Sep 10 13:26:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act(632)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(632)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(632)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(632)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(630)@101ae2a3001c: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(631)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(629): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(630): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(631): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(632): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Tue Sep 10 13:26:20 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1972184) Waiting for packet dumper to finish... 1 (prev_count=1972184, count=1972679) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Tue Sep 10 13:26:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(636)@101ae2a3001c: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(636)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(636)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(636)@101ae2a3001c: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(635)@101ae2a3001c: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(633): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(634): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(635): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(636): pass (none -> pass) MTC@101ae2a3001c: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Tue Sep 10 13:26:25 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1974445) Waiting for packet dumper to finish... 1 (prev_count=1974445, count=1974940) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Tue Sep 10 13:26:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(638)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL(638)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(638)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(638)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL(638)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(638)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(638)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(638)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL(638)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(638)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_est_ind-RSL(638)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(638)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(638)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(638)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(638)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(638)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(640)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(640)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(640)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(640)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(641)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(641)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(641)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(641)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(642)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(642)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(642)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(642)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(643)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(643)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(644)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(644)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(645)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(645)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(646)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(646)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(647)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(647)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(648)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(648)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(649)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(649)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(650)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(650)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(651)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(651)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(652)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(652)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(653)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(653)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(654)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(654)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(655)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(655)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(656)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(656)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(657)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(657)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(658)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(658)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(659)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(659)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(660)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(660)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(661)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(661)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(662)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(662)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(663)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(663)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(664)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(664)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(665)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(665)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(666)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(666)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(667)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_ind(667)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(638)@101ae2a3001c: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(637)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(639)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind-RSL-IPA(637): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind-RSL(638): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(639): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(640): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(641): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(642): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(643): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(644): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(645): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(646): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(647): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(648): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(649): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(650): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(651): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(652): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(653): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(654): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(655): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(656): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(657): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_est_ind finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Tue Sep 10 13:27:16 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=41370418) Waiting for packet dumper to finish... 1 (prev_count=41370418, count=41370913) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Tue Sep 10 13:27:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(671)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(671)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(671)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(671)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(671)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(671)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(672)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(672)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(672)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(672)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(672)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(673)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(673)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(673)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(673)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(673)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(674)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(674)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(674)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(674)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(674)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(669)@101ae2a3001c: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(668)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(670)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(668): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(669): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(670): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_DCCH_3(671): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_DCCH_3(672): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_DCCH_3(673): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_DCCH_3(674): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Tue Sep 10 13:27:22 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2393385) Waiting for packet dumper to finish... 1 (prev_count=2393385, count=2393880) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Tue Sep 10 13:27:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(678)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(678)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(679)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(679)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(680)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(680)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(680)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(680)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(680)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(680)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(681)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(681)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(681)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(681)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(681)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(676)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(677)@101ae2a3001c: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(675)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(675): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(676): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(677): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_ACCH_3(678): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_ACCH_3(679): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_ACCH_3(680): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_est_req_ACCH_3(681): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Tue Sep 10 13:27:29 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3482209) Waiting for packet dumper to finish... 1 (prev_count=3482209, count=3487593) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Tue Sep 10 13:27:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(685)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(686)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(687)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(688)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(683)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(682)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(684)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(682): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(683): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(684): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(685): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(686): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(687): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_0(688): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Tue Sep 10 13:27:36 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3441924) Waiting for packet dumper to finish... 1 (prev_count=3441924, count=3442419) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Tue Sep 10 13:27:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(692)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(693)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(694)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(694)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(694)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(694)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(694)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(694)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(695)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(690)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(691)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(689)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(689): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(690): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(691): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(692): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(693): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(694): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_DCCH_3(695): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Tue Sep 10 13:27:44 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3385122) Waiting for packet dumper to finish... 1 (prev_count=3385122, count=3385617) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Tue Sep 10 13:27:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(699)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(700)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(701)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 702 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_0-RSL(697)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(698)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(696)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0(702)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(696): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(697): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(698): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(699): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(700): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(701): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_0(702): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail'. Tue Sep 10 13:28:15 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21776210) Waiting for packet dumper to finish... 1 (prev_count=21776210, count=21781594) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Tue Sep 10 13:28:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(706)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(707)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(708)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 709 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_3-RSL(704)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(705)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(703)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3(709)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(703): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(704): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(705): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(706): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(707): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(708): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_ind_ACCH_3(709): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail'. Tue Sep 10 13:28:46 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21788105) Waiting for packet dumper to finish... 1 (prev_count=21788105, count=21788600) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Tue Sep 10 13:28:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(711)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(711)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(711)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(711)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(711)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(711)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_rel_req-RSL(711)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(711)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(711)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(711)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(711)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(711)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(711)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_rel_req-RSL(711)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(711)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(711)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(713)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(714)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(714)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(715)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(716)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(716)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(717)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(717)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(717)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(718)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(718)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(719)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(719)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(720)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(720)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(721)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(721)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(721)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(722)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(722)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(723)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(723)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(724)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(725)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(726)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(726)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_req(726)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 726 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_req-RSL(711)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(710)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(712)@101ae2a3001c: Final verdict of PTC: none TC_rll_rel_req(726)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req-RSL-IPA(710): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req-RSL(711): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(712): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(713): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(714): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(715): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(716): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(717): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(718): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(719): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(720): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(721): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(722): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(723): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(724): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(725): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_rel_req(726): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Test case TC_rll_rel_req finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail'. Tue Sep 10 13:29:27 UTC 2024 ------ BTS_Tests.TC_rll_rel_req fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31087490) Waiting for packet dumper to finish... 1 (prev_count=31087490, count=31087985) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Tue Sep 10 13:29:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(730)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(730)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(730)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(730)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(730)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(730)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(731)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(731)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(731)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(731)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(731)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(731)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(732)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(732)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(732)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(732)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(732)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(733)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(733)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(733)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(733)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(734)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(734)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(734)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(735)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(735)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(735)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(736)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(736)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(736)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '1AA20C924E8BD50B43362E02CA237C'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(737)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(737)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '1AA20C924E8BD50B43362E02CA237C'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(737)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(737)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(737)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(728)@101ae2a3001c: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(727)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(729)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(727): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(728): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(729): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(730): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(731): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(732): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(733): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(734): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(735): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(736): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_DCCH(737): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Tue Sep 10 13:29:34 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3000111) Waiting for packet dumper to finish... 1 (prev_count=3000111, count=3005932) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Tue Sep 10 13:29:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(741)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(742)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(743)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(745)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(745)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(745)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '87B5E5024BBF57E24D7FC5AADF2EE751952CFB'O } } TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(748)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(739)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(740)@101ae2a3001c: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(738)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(738): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(739): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(740): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(741): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(742): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(743): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(744): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(745): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(746): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(747): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_req_ACCH(748): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Tue Sep 10 13:29:43 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5177588) Waiting for packet dumper to finish... 1 (prev_count=5177588, count=5178083) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Tue Sep 10 13:29:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(752)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(752)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(752)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(752)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(753)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(753)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(753)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(753)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(754)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(754)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(754)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(754)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(755)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(755)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(756)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(756)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(757)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(757)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(758)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(758)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '551AD800A08E3911428AA907ED02F4AAEC90B4B5'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(759)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(759)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(759)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(759)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(750)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(751)@101ae2a3001c: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(749)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(749): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(750): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(751): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(752): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(753): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(754): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(755): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(756): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(757): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(758): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_DCCH(759): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Tue Sep 10 13:29:51 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3411640) Waiting for packet dumper to finish... 1 (prev_count=3411640, count=3412135) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Tue Sep 10 13:29:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(763)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(763)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(763)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(763)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(764)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(764)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(764)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(764)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(765)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(765)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(765)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(765)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(766)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(766)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(767)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(767)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(768)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(768)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(769)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(769)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'A7802E506B83AD6D6E32E60DF46B167D7AB8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(770)@101ae2a3001c: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(770)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(770)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(770)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(761)@101ae2a3001c: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(760)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(762)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(760): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(761): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(762): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(763): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(764): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(765): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(766): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(767): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(768): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(769): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rll_unit_data_ind_ACCH(770): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Tue Sep 10 13:30:05 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9981631) Waiting for packet dumper to finish... 1 (prev_count=9981631, count=9982126) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Tue Sep 10 13:30:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(772)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(772)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(772)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(772)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(772)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(772)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_a51-RSL(772)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(772)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(772)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(772)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_a51-RSL(772)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(772)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(772)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(772)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(772)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(772)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(774)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a51(774)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(774)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a51(774)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(774)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(774)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(774)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(775)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a51(775)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a51(775)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(775)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(775)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(775)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(776)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a51(776)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a51(776)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(776)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(776)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(776)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(777)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a51(777)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(777)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a51(777)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a51(777)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(777)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(772)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(771)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(773)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a51-RSL-IPA(771): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a51-RSL(772): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(773): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a51(774): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a51(775): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a51(776): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a51(777): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_chan_act_a51 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Tue Sep 10 13:30:13 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3169059) Waiting for packet dumper to finish... 1 (prev_count=3169059, count=3169554) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Tue Sep 10 13:30:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(779)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(779)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(779)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(779)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL(779)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(779)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(779)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL(779)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(779)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(779)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_a52-RSL(779)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(779)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(779)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(779)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(779)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(779)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(781)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a52(781)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(781)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a52(781)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(781)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(781)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(781)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(782)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a52(782)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a52(782)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(782)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(782)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(782)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(783)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a52(783)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a52(783)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(783)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(783)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(783)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(784)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a52(784)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a52(784)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(784)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(779)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(778)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(780)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a52-RSL-IPA(778): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a52-RSL(779): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(780): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a52(781): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a52(782): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a52(783): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a52(784): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_chan_act_a52 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Tue Sep 10 13:30:20 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3157656) Waiting for packet dumper to finish... 1 (prev_count=3157656, count=3163040) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Tue Sep 10 13:30:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL(786)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL(786)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL(786)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(786)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(786)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(786)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL(786)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(786)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(786)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(786)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_a53-RSL(786)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(786)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(786)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(786)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(786)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(786)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(788)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a53(788)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(788)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a53(788)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(788)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(788)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(788)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(789)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a53(789)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a53(789)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(789)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(789)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(789)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(790)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a53(790)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a53(790)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(790)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(790)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(790)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(791)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a53(791)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a53(791)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(791)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(786)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(787)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(785)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a53-RSL-IPA(785): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a53-RSL(786): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(787): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a53(788): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a53(789): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a53(790): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a53(791): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_chan_act_a53 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Tue Sep 10 13:30:27 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3170218) Waiting for packet dumper to finish... 1 (prev_count=3170218, count=3170713) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Tue Sep 10 13:30:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(793)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(793)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(793)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(793)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(793)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(793)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_chan_act_a54-RSL(793)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(793)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL(793)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(793)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(793)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(793)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(793)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(793)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(793)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(793)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(795)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a54(795)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(795)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a54(795)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(795)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(795)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(795)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(796)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a54(796)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a54(796)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(796)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(796)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(796)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(797)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a54(797)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a54(797)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(797)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(797)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(797)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(798)@101ae2a3001c: setverdict(pass): none -> pass TC_chan_act_a54(798)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '66AB55DE71479AED430ACA0BE2D443C4A447BF23'O, padding := ''O } } TC_chan_act_a54(798)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(798)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(793)@101ae2a3001c: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(792)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(794)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a54-RSL-IPA(792): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a54-RSL(793): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(794): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a54(795): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a54(796): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a54(797): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_chan_act_a54(798): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_chan_act_a54 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Tue Sep 10 13:30:34 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3169545) Waiting for packet dumper to finish... 1 (prev_count=3169545, count=3170477) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Tue Sep 10 13:30:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(805)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(800)@101ae2a3001c: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(799)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(801)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(799): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a51-RSL(800): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(801): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a51(802): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a51(803): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a51(804): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a51(805): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Tue Sep 10 13:30:43 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5301996) Waiting for packet dumper to finish... 1 (prev_count=5301996, count=5302619) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Tue Sep 10 13:30:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(807)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(812)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(807)@101ae2a3001c: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(806)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(808)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(806): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a52-RSL(807): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(808): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a52(809): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a52(810): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a52(811): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a52(812): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Tue Sep 10 13:30:53 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5300590) Waiting for packet dumper to finish... 1 (prev_count=5300590, count=5301213) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Tue Sep 10 13:30:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(814)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(814)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(814)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(814)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(819)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(814)@101ae2a3001c: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(813)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(815)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(813): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a53-RSL(814): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(815): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a53(816): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a53(817): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a53(818): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a53(819): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Tue Sep 10 13:31:03 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5297274) Waiting for packet dumper to finish... 1 (prev_count=5297274, count=5297769) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Tue Sep 10 13:31:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL(821)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(821)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(821)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(821)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): none -> pass TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'C4A447BF23979E954DF436759E7979'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(826)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(822)@101ae2a3001c: Final verdict of PTC: none TC_encr_cmd_a54-RSL(821)@101ae2a3001c: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(820)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(820): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a54-RSL(821): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(822): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a54(823): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a54(824): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a54(825): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_encr_cmd_a54(826): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Tue Sep 10 13:31:12 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5298996) Waiting for packet dumper to finish... 1 (prev_count=5298996, count=5299619) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Tue Sep 10 13:31:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(830)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(828)@101ae2a3001c: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(827)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(829)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(827): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(828): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(829): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_mdisc(830): none (pass -> pass) MTC@101ae2a3001c: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Tue Sep 10 13:31:18 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1952403) Waiting for packet dumper to finish... 1 (prev_count=1952403, count=1952898) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Tue Sep 10 13:31:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(834)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(835)@101ae2a3001c: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(835)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(832)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(833)@101ae2a3001c: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(831)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(831): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(832): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(833): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_msg_type(834): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_msg_type(835): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Tue Sep 10 13:31:23 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1977971) Waiting for packet dumper to finish... 1 (prev_count=1977971, count=1978466) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Tue Sep 10 13:31:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(839)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL-IPA(836)@101ae2a3001c: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL(837)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(838)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(836): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(837): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(838): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_err_rep_wrong_sequence(839): none (pass -> pass) MTC@101ae2a3001c: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Tue Sep 10 13:31:29 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1949661) Waiting for packet dumper to finish... 1 (prev_count=1949661, count=1955045) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Tue Sep 10 13:31:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_lapdm_selftest started. MTC@101ae2a3001c: "ui_s0_empty": matched MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: "ui_s3_empty": matched MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: "sabm_s0_empty": matched MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: "sabm_s0_l3": matched MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: "rr_s0_7": matched MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: "I/0/0": matched MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: "I/7/0": matched MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: No PTCs were created. MTC@101ae2a3001c: Test case TC_lapdm_selftest finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Tue Sep 10 13:31:33 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=992670) Waiting for packet dumper to finish... 1 (prev_count=992670, count=1101210) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Tue Sep 10 13:31:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(843)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(843)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(843)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(843)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(844)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(844)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(844)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(844)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(845)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(845)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(845)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(845)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(846)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(846)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(847)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(847)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_tch_sign_l2_fill_frame(848)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(848)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(849)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(849)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(850)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(850)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(851)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(851)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(852)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(852)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(853)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(853)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(854)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(854)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(855)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(855)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(856)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(856)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(857)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(857)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(858)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(858)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(859)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(859)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(860)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(860)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(861)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(861)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(862)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@101ae2a3001c: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(841)@101ae2a3001c: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(840)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(842)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(840): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(841): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(842): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(843): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(844): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(845): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(846): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(847): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(848): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(849): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(850): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(851): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(852): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(853): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(854): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(855): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(856): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(857): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(858): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(859): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(860): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(861): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Tue Sep 10 13:31:56 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15995622) Waiting for packet dumper to finish... 1 (prev_count=15995622, count=15996117) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Tue Sep 10 13:32:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(866)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(866)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(866)@101ae2a3001c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(867)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(867)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(867)@101ae2a3001c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(868)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(868)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(868)@101ae2a3001c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(869)@101ae2a3001c: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(869)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(869)@101ae2a3001c: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(864)@101ae2a3001c: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(865)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(863): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(864): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(865): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(866): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(867): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(868): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@101ae2a3001c: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(869): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@101ae2a3001c: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Tue Sep 10 13:32:03 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2939436) Waiting for packet dumper to finish... 1 (prev_count=2939436, count=2939931) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Tue Sep 10 13:32:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chopped_ipa_ping started. 870@101ae2a3001c: sending byte '00'O 870@101ae2a3001c: sending byte '01'O 870@101ae2a3001c: sending byte 'FE'O 870@101ae2a3001c: sending byte '00'O 870@101ae2a3001c: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 870@101ae2a3001c: received pong from "172.18.0.20" port 44681: '0001FE01'O 870@101ae2a3001c: setverdict(pass): none -> pass 870@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC with component reference 870: pass (none -> pass) MTC@101ae2a3001c: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Tue Sep 10 13:32:32 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11893311) Waiting for packet dumper to finish... 1 (prev_count=11893311, count=11893806) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Tue Sep 10 13:32:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_chopped_ipa_payload started. 871@101ae2a3001c: sending byte '04'O 871@101ae2a3001c: sending byte '01'O 871@101ae2a3001c: sending byte '08'O ("\b") 871@101ae2a3001c: sending byte '01'O 871@101ae2a3001c: sending byte '07'O ("\a") 871@101ae2a3001c: sending byte '01'O 871@101ae2a3001c: sending byte '02'O 871@101ae2a3001c: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 871@101ae2a3001c: received IPA message from "172.18.0.20" port 43665: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A30303A313400000202000001FE06'O 871@101ae2a3001c: setverdict(pass): none -> pass 871@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC with component reference 871: pass (none -> pass) MTC@101ae2a3001c: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Tue Sep 10 13:33:19 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20347068) Waiting for packet dumper to finish... 1 (prev_count=20347068, count=20347563) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Tue Sep 10 13:33:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@101ae2a3001c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@101ae2a3001c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@101ae2a3001c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(878)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(873)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(874)@101ae2a3001c: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(872)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(872): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(873): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(874): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_constant(875): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_constant(876): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_constant(877): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_constant(878): pass (fail -> fail) MTC@101ae2a3001c: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Tue Sep 10 13:33:40 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15666829) Waiting for packet dumper to finish... 1 (prev_count=15666829, count=15672213) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Tue Sep 10 13:33:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@101ae2a3001c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@101ae2a3001c: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(884)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(885)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(880)@101ae2a3001c: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(881)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(879): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(880): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(881): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(882): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(883): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(884): pass (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(885): pass (fail -> fail) MTC@101ae2a3001c: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Tue Sep 10 13:34:16 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29024819) Waiting for packet dumper to finish... 1 (prev_count=29024819, count=29025314) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Tue Sep 10 13:34:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(889)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(889)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(889)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(889)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(889)@101ae2a3001c: Final verdict of PTC: pass TC_speech_no_rtp_tchf(890)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(890)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(890)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@101ae2a3001c: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchf(890)@101ae2a3001c: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchf(890)@101ae2a3001c: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchf(890)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(890)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(890)@101ae2a3001c: Final verdict of PTC: pass TC_speech_no_rtp_tchf(891)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(891)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(891)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1490, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(891)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(891)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1499, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(891)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1503, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(891)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1508, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(891)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(891)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(891)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(887)@101ae2a3001c: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(886)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(888)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(886): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(887): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(888): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchf(889): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchf(890): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchf(891): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Tue Sep 10 13:34:28 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9629533) Waiting for packet dumper to finish... 1 (prev_count=9629533, count=9630028) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Tue Sep 10 13:34:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(895)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(895)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(895)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 572, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(895)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(895)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(896)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(896)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(896)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(896)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1031, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(896)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1035, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(896)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1040, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(896)@101ae2a3001c: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1044, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(896)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(896)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(896)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(893)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(894)@101ae2a3001c: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(892)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(892): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(893): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(894): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchh(895): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_no_rtp_tchh(896): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Tue Sep 10 13:34:38 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5554978) Waiting for packet dumper to finish... 1 (prev_count=5554978, count=5555473) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Tue Sep 10 13:34:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(900)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(900)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_rtp_tchf(900)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(900)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(900)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(900)@101ae2a3001c: TCH received (len=33): 'D066AB55DE7147FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(900)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(900)@101ae2a3001c: TCH received (len=33): 'D066AB55DE7147FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(900)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(900)@101ae2a3001c: Final verdict of PTC: pass TC_speech_rtp_tchf(902)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(902)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_rtp_tchf(902)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(902)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(902)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(902)@101ae2a3001c: TCH received (len=31): 'C066AB55DE7147000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(902)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(902)@101ae2a3001c: TCH received (len=31): 'C066AB55DE7147000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(902)@101ae2a3001c: TCH received (len=31): 'C066AB55DE7147000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(902)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(902)@101ae2a3001c: Final verdict of PTC: pass TC_speech_rtp_tchf(904)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(904)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_rtp_tchf(904)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(904)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(904)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(904)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchf(904)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(904)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchf(904)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchf(904)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(904)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(898)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(901)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(903)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(899)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(897)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(905)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(897): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf-RSL(898): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(899): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf(900): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(901): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf(902): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(903): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf(904): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(905): none (pass -> pass) MTC@101ae2a3001c: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Tue Sep 10 13:34:50 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9512116) Waiting for packet dumper to finish... 1 (prev_count=9512116, count=9517500) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Tue Sep 10 13:34:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(909)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(909)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_rtp_tchh(909)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(909)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(909)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(909)@101ae2a3001c: TCH received (len=15): '0066AB55DE71470000000000000000'O TC_speech_rtp_tchh(909)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(909)@101ae2a3001c: TCH received (len=15): '0066AB55DE71470000000000000000'O TC_speech_rtp_tchh(909)@101ae2a3001c: TCH received (len=15): '0066AB55DE71470000000000000000'O TC_speech_rtp_tchh(909)@101ae2a3001c: TCH received (len=15): '0066AB55DE71470000000000000000'O TC_speech_rtp_tchh(909)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(909)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(911)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(911)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_rtp_tchh(911)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(911)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(911)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(911)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchh(911)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(911)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchh(911)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchh(911)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_rtp_tchh(911)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(911)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(907)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(912)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(910)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(908)@101ae2a3001c: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(906)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(906): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchh-RSL(907): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(908): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchh(909): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(910): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchh(911): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(912): none (pass -> pass) MTC@101ae2a3001c: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Tue Sep 10 13:34:59 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5527628) Waiting for packet dumper to finish... 1 (prev_count=5527628, count=5533012) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Tue Sep 10 13:35:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(916)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_osmux_tchf(916)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(916)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(916)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: got '66AB55DE7147000000000000000000'O vs exp '66AB55DE7147000000000000000000'O TC_speech_osmux_tchf(916)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(916)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(914)@101ae2a3001c: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(917)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(915)@101ae2a3001c: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(913)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(913): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchf-RSL(914): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(915): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchf(916): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(917): none (pass -> pass) MTC@101ae2a3001c: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Tue Sep 10 13:35:07 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4540817) Waiting for packet dumper to finish... 1 (prev_count=4540817, count=4541312) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Tue Sep 10 13:35:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_osmux_tchh(921)@101ae2a3001c: setverdict(pass): none -> pass TC_speech_osmux_tchh(921)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(921)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(921)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: TCH received (len=17): '201466AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: got '66AB55DE7147000000000000000000'O vs exp '66AB55DE7147000000000000000000'O TC_speech_osmux_tchh(921)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(921)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL(919)@101ae2a3001c: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(922)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(920)@101ae2a3001c: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(918)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(918): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchh-RSL(919): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(920): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchh(921): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(922): none (pass -> pass) MTC@101ae2a3001c: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Tue Sep 10 13:35:15 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3785662) Waiting for packet dumper to finish... 1 (prev_count=3785662, count=3791046) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Tue Sep 10 13:35:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(926)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(926)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf144(926)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(927)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(926)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(926)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@101ae2a3001c: Test Component 926 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RTPEM(927)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf144-RSL(924)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(925)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf144(926)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RSL-IPA(923)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(923): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf144-RSL(924): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(925): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf144(926): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(927): none (fail -> fail) MTC@101ae2a3001c: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Tue Sep 10 13:35:21 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2002374) Waiting for packet dumper to finish... 1 (prev_count=2002374, count=2002869) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Tue Sep 10 13:35:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(931)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(931)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf96(931)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(932)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(931)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(931)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(931)@101ae2a3001c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000001000000000000000000000000000000000101000000000000000000000000000000000000000100000000000000000000000000000000000000010000010000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000010000000000010101000000000000000001010000010000000000000000000000000000010101010100000000000101010100000000000001010101010000000000000000000000000000000001010000010000000000010101'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000001010100000100000000000101010000000000000101000000000000000000000001000001000100000100000000000000000000000100000101000000000001010100000000000101000000010001010001000000000000000000000000000000000000000001000000000000000001010100000001010000010000010101000000000000010000010101010000000101010100000001000101010000000101000001010000000000000000000000000000000101000101000000000000000000000100000101010000010101010100000001000001010100000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000100000000000000000000000000000000000000000001000100010001010000000100000000000000010000000000000000000000000000010000010101000000000000000000000000000000000000000100000000000000000000000000000000000000000101010000000100000101000101010000000100000101010000000100000100000101000100010100000101010000000100000000000001000001010000000000000000000000010000010101000000010101000000010000010101000000000000000000000000000000000000000000000000000000000001000001000001000001000001000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '010100000000000000000000000000000000000001000101000100010001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000101010000000101010100000001000001000001010001000100010000000000000000000000000000000000000001000000000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000000000000000000000000010101000000010000000000000000000000000000000000000000000101010000000101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(931)@101ae2a3001c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(931)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(932)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(931)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(931)@101ae2a3001c: Final verdict of PTC: pass TC_data_rtp_tchf96(933)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(933)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf96(933)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(934)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(933)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(933)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(933)@101ae2a3001c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(933)@101ae2a3001c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(933)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(934)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(933)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(933)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(929)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(930)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(934)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(928)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(932)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(928): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf96-RSL(929): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(930): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf96(931): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(932): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf96(933): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(934): none (pass -> pass) MTC@101ae2a3001c: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Tue Sep 10 13:35:27 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2978958) Waiting for packet dumper to finish... 1 (prev_count=2978958, count=2979453) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Tue Sep 10 13:35:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(938)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(938)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf48(938)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(939)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(938)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(938)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(938)@101ae2a3001c: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(938)@101ae2a3001c: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(938)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(939)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(938)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(938)@101ae2a3001c: Final verdict of PTC: pass TC_data_rtp_tchf48(940)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(940)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf48(940)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(941)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(940)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(940)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(940)@101ae2a3001c: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(940)@101ae2a3001c: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(940)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(941)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(940)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(940)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(936)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(941)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(935)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(939)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(937)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(935): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf48-RSL(936): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(937): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf48(938): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(939): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf48(940): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(941): none (pass -> pass) MTC@101ae2a3001c: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Tue Sep 10 13:35:34 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2987978) Waiting for packet dumper to finish... 1 (prev_count=2987978, count=2988910) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Tue Sep 10 13:35:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(945)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(945)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchh48(945)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(946)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(945)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(945)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(945)@101ae2a3001c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(945)@101ae2a3001c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(945)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(946)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(945)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(945)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(947)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(947)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchh48(947)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(948)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(947)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(947)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(947)@101ae2a3001c: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(947)@101ae2a3001c: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(947)@101ae2a3001c: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(947)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(948)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(947)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(947)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL-IPA(942)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(948)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchh48-RSL(943)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(946)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(944)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(942): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh48-RSL(943): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(944): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh48(945): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(946): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh48(947): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(948): none (pass -> pass) MTC@101ae2a3001c: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Tue Sep 10 13:35:40 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2888646) Waiting for packet dumper to finish... 1 (prev_count=2888646, count=2889141) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Tue Sep 10 13:35:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(952)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(952)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf24(952)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(953)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(952)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(952)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(952)@101ae2a3001c: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(952)@101ae2a3001c: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(952)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(953)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(952)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(952)@101ae2a3001c: Final verdict of PTC: pass TC_data_rtp_tchf24(954)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(954)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchf24(954)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(955)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(954)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(954)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(954)@101ae2a3001c: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(954)@101ae2a3001c: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(954)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(955)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(954)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(954)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RSL(950)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(951)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(955)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(953)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(949)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(949): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf24-RSL(950): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(951): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf24(952): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(953): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf24(954): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(955): none (pass -> pass) MTC@101ae2a3001c: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Tue Sep 10 13:35:46 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2596952) Waiting for packet dumper to finish... 1 (prev_count=2596952, count=2597447) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Tue Sep 10 13:35:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(959)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(959)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchh24(959)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(960)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(959)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(959)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(959)@101ae2a3001c: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(959)@101ae2a3001c: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(959)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(960)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(959)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(959)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(961)@101ae2a3001c: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(961)@101ae2a3001c: setverdict(pass): none -> pass TC_data_rtp_tchh24(961)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(962)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(961)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(961)@101ae2a3001c: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(961)@101ae2a3001c: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(961)@101ae2a3001c: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(961)@101ae2a3001c: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(961)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(962)@101ae2a3001c: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(961)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(961)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(957)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(962)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(958)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(960)@101ae2a3001c: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(956)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(956): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh24-RSL(957): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(958): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh24(959): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(960): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh24(961): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(962): none (pass -> pass) MTC@101ae2a3001c: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Tue Sep 10 13:35:53 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2884041) Waiting for packet dumper to finish... 1 (prev_count=2884041, count=2884536) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Tue Sep 10 13:35:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL(964)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL(964)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(966)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(966)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(966)@101ae2a3001c: Received IMM.ASS for our RACH! TC_early_immediate_assignment(966)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(966)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(966)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(967)@101ae2a3001c: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(967)@101ae2a3001c: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(967)@101ae2a3001c: Received IMM.ASS for our RACH! TC_early_immediate_assignment(967)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(967)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(967)@101ae2a3001c: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(964)@101ae2a3001c: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(963)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(965)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(963): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_early_immediate_assignment-RSL(964): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(965): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_early_immediate_assignment(966): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Local verdict of PTC TC_early_immediate_assignment(967): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@101ae2a3001c: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Tue Sep 10 13:36:01 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4103116) Waiting for packet dumper to finish... 1 (prev_count=4103116, count=4103611) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Tue Sep 10 13:36:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(971)@101ae2a3001c: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(971)@101ae2a3001c: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(971)@101ae2a3001c: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(971)@101ae2a3001c: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(971)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@101ae2a3001c: Test Component 971 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh(971)@101ae2a3001c: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_rxqual_thresh-RSL(969)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(970)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(968)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(968): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(969): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(970): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_rxqual_thresh(971): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@101ae2a3001c: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail'. Tue Sep 10 13:36:16 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7050190) Waiting for packet dumper to finish... 1 (prev_count=7050190, count=7050685) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Tue Sep 10 13:36:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(975)@101ae2a3001c: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(975)@101ae2a3001c: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(975)@101ae2a3001c: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(975)@101ae2a3001c: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(975)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@101ae2a3001c: Test Component 975 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh_dtx(975)@101ae2a3001c: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_rxqual_thresh_dtx-RSL(973)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(974)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(972): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(973): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(974): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(975): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@101ae2a3001c: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail'. Tue Sep 10 13:36:30 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6957029) Waiting for packet dumper to finish... 1 (prev_count=6957029, count=6957524) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Tue Sep 10 13:36:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(979)@101ae2a3001c: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(979)@101ae2a3001c: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(979)@101ae2a3001c: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(979)@101ae2a3001c: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(979)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@101ae2a3001c: Test Component 979 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_facch-RSL(977)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(978)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_always_on_facch(979)@101ae2a3001c: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_always_on_facch-RSL-IPA(976)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(976): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(977): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(978): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_always_on_facch(979): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@101ae2a3001c: Test case TC_acch_overpower_always_on_facch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail'. Tue Sep 10 13:36:45 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_facch fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7162337) Waiting for packet dumper to finish... 1 (prev_count=7162337, count=7162832) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Tue Sep 10 13:36:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: Established a new IPA connection (conn_id=4) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(983)@101ae2a3001c: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(983)@101ae2a3001c: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(983)@101ae2a3001c: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(983)@101ae2a3001c: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(983)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@101ae2a3001c: Test Component 983 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_sacch-RSL(981)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_always_on_sacch(983)@101ae2a3001c: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(982)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(980)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(980): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(981): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(982): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_always_on_sacch(983): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@101ae2a3001c: Test case TC_acch_overpower_always_on_sacch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail'. Tue Sep 10 13:37:00 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_sacch fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7154311) Waiting for packet dumper to finish... 1 (prev_count=7154311, count=7159695) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Tue Sep 10 13:37:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(985)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(985)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(985)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL(985)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(987)@101ae2a3001c: RxLev(BCCH) := 30 TC_acch_overpower_limit(987)@101ae2a3001c: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(987)@101ae2a3001c: setverdict(pass): none -> pass TC_acch_overpower_limit(987)@101ae2a3001c: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(987)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@101ae2a3001c: Test Component 987 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_limit-RSL(985)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(984)@101ae2a3001c: Final verdict of PTC: none TC_acch_overpower_limit(987)@101ae2a3001c: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(986)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(984): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_limit-RSL(985): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(986): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_acch_overpower_limit(987): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@101ae2a3001c: Test case TC_acch_overpower_limit finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail'. Tue Sep 10 13:37:15 UTC 2024 ------ BTS_Tests.TC_acch_overpower_limit fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6949184) Waiting for packet dumper to finish... 1 (prev_count=6949184, count=6955005) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail' was executed successfully (exit status: 0). MC@101ae2a3001c: Test execution finished. MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Tue Sep 10 13:37:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(989)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(990)@101ae2a3001c: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(988)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(988): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(989): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(990): none (pass -> pass) MTC@101ae2a3001c: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Tue Sep 10 13:37:30 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6497143) Waiting for packet dumper to finish... 1 (prev_count=6497143, count=6497638) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Tue Sep 10 13:37:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(992)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(991): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(992): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Tue Sep 10 13:37:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10372639) Waiting for packet dumper to finish... 1 (prev_count=10372639, count=10373134) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Tue Sep 10 13:37:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(995)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(996)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(994): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(995): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(996): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Tue Sep 10 13:38:08 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10367398) Waiting for packet dumper to finish... 1 (prev_count=10367398, count=10367893) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Tue Sep 10 13:38:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(998)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(999)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(997): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(998): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(999): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Tue Sep 10 13:38:26 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10364068) Waiting for packet dumper to finish... 1 (prev_count=10364068, count=10364563) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Tue Sep 10 13:38:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 IPA-CTRL-CLI-IPA(1002)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL(1001)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1000): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1001): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1002): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Tue Sep 10 13:38:45 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10360528) Waiting for packet dumper to finish... 1 (prev_count=10360528, count=10365912) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Tue Sep 10 13:38:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@101ae2a3001c: Found block_nr 3 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3143, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1004)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1003): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1004): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Tue Sep 10 13:39:10 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15843336) Waiting for packet dumper to finish... 1 (prev_count=15843336, count=15848720) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Tue Sep 10 13:39:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1007)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1008)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1006): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1007): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1008): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Tue Sep 10 13:39:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10377145) Waiting for packet dumper to finish... 1 (prev_count=10377145, count=10377640) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Tue Sep 10 13:39:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1010)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1011)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1009): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1010): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1011): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Tue Sep 10 13:39:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12196864) Waiting for packet dumper to finish... 1 (prev_count=12196864, count=12197359) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Tue Sep 10 13:39:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1014)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1012): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1013): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1014): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Tue Sep 10 13:40:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13128937) Waiting for packet dumper to finish... 1 (prev_count=13128937, count=13129432) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Tue Sep 10 13:40:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4418, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1017)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1015): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1016): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1017): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Tue Sep 10 13:40:41 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21330528) Waiting for packet dumper to finish... 1 (prev_count=21330528, count=21331023) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Tue Sep 10 13:40:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1019)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1020)@101ae2a3001c: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1018)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1018): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1019): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1020): none (pass -> pass) MTC@101ae2a3001c: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Tue Sep 10 13:41:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7688935) Waiting for packet dumper to finish... 1 (prev_count=7688935, count=7689430) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Tue Sep 10 13:41:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1022)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1023)@101ae2a3001c: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1021)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1021): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1022): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1023): none (pass -> pass) MTC@101ae2a3001c: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Tue Sep 10 13:41:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8747583) Waiting for packet dumper to finish... 1 (prev_count=8747583, count=8753404) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Tue Sep 10 13:41:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_1block started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1025)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1026)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1024): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1025): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1026): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Tue Sep 10 13:41:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8554085) Waiting for packet dumper to finish... 1 (prev_count=8554085, count=8554580) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Tue Sep 10 13:41:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_2block started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 27, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1028)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1029)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1027): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1028): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1029): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Tue Sep 10 13:42:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8590287) Waiting for packet dumper to finish... 1 (prev_count=8590287, count=8590782) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Tue Sep 10 13:42:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_3block started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: IPA: Closed TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Lost IPA connection! (conn_id=5) IPA-CTRL-CLI-IPA(1032)@101ae2a3001c: IPA: Closed TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1032)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for "Basic" CBCH block 2 of msg 0", new component reason: "Timeout waiting for "Basic" CBCH block 2 of msg 0" MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1031)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: fail reason: "Timeout waiting for "Basic" CBCH block 2 of msg 0" MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1030): none (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1031): none (fail -> fail) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1032): none (fail -> fail) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: fail reason: Timeout waiting for "Basic" CBCH block 2 of msg 0 MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block fail'. Tue Sep 10 13:42:21 UTC 2024 ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5305670) Waiting for packet dumper to finish... 1 (prev_count=5305670, count=5306253) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Tue Sep 10 13:42:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_4block started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1034)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1035)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1033): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1034): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1035): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Tue Sep 10 13:42:40 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8620265) Waiting for packet dumper to finish... 1 (prev_count=8620265, count=8625649) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Tue Sep 10 13:42:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_multi started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@101ae2a3001c: Found block_nr 3 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 1 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 2 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1037)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1038)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1036): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1037): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1038): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Tue Sep 10 13:43:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12796722) Waiting for packet dumper to finish... 1 (prev_count=12796722, count=12802543) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Tue Sep 10 13:43:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_schedule started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@101ae2a3001c: Found block_nr 3 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1040)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1041)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1039): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1040): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1041): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Tue Sep 10 13:43:23 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8544217) Waiting for packet dumper to finish... 1 (prev_count=8544217, count=8544712) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Tue Sep 10 13:43:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1043)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1044)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1042): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1043): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1044): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Tue Sep 10 13:43:44 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12204338) Waiting for packet dumper to finish... 1 (prev_count=12204338, count=12204833) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Tue Sep 10 13:43:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@101ae2a3001c: Found block_nr 0 of msg 0 MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@101ae2a3001c: Found block_nr 1 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@101ae2a3001c: Found block_nr 2 of msg 0 MTC@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 2 of DEFAULT/NULL MTC@101ae2a3001c: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 3 of DEFAULT/NULL MTC@101ae2a3001c: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@101ae2a3001c: Found block_nr 0 of DEFAULT/NULL MTC@101ae2a3001c: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@101ae2a3001c: Found block_nr 1 of DEFAULT/NULL MTC@101ae2a3001c: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1047)@101ae2a3001c: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1045): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1046): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1047): none (pass -> pass) MTC@101ae2a3001c: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Tue Sep 10 13:44:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10728098) Waiting for packet dumper to finish... 1 (prev_count=10728098, count=10728593) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Tue Sep 10 13:44:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1049)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1050)@101ae2a3001c: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1048)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1048): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1049): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1050): none (pass -> pass) MTC@101ae2a3001c: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Tue Sep 10 13:44:26 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7739081) Waiting for packet dumper to finish... 1 (prev_count=7739081, count=7739576) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Tue Sep 10 13:44:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1052)@101ae2a3001c: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1051)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1053)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1051): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1052): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1053): none (pass -> pass) MTC@101ae2a3001c: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Tue Sep 10 13:44:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8792656) Waiting for packet dumper to finish... 1 (prev_count=8792656, count=8793151) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Tue Sep 10 13:44:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1055)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1055)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1055)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1055)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL(1055)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1055)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1055)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1055)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1055)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1055)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1055)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1055)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1055)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1055)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1055)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1055)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Quantity of received ETWS PN segments: { 21, 21, 21, 21 } MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1055)@101ae2a3001c: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1054)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1056)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1054): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_etws_p1ro-RSL(1055): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1056): none (pass -> pass) MTC@101ae2a3001c: Test case TC_etws_p1ro finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Tue Sep 10 13:45:05 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12070910) Waiting for packet dumper to finish... 1 (prev_count=12070910, count=12071405) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Tue Sep 10 13:45:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1058)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1059)@101ae2a3001c: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1057)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1057): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_etws_p1ro_end-RSL(1058): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1059): none (pass -> pass) MTC@101ae2a3001c: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Tue Sep 10 13:45:26 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15721499) Waiting for packet dumper to finish... 1 (prev_count=15721499, count=15721994) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Tue Sep 10 13:45:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1061)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1061)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1061)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1061)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1061)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1061)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_etws_pcu-RSL(1061)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1061)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1061)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1061)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1061)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1061)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1061)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1061)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1061)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1061)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 IPA-CTRL-CLI-IPA(1062)@101ae2a3001c: Final verdict of PTC: none TC_etws_pcu-RSL(1061)@101ae2a3001c: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1060)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_etws_pcu-RSL-IPA(1060): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_etws_pcu-RSL(1061): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1062): none (pass -> pass) MTC@101ae2a3001c: Test case TC_etws_pcu finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Tue Sep 10 13:45:31 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2048020) Waiting for packet dumper to finish... 1 (prev_count=2048020, count=2048515) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@101ae2a3001c: Test execution finished. MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Tue Sep 10 13:45:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: Established a new IPA connection (conn_id=4) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1067@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1066)@101ae2a3001c: setverdict(pass): none -> pass 1067@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1067@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1067@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1067@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1066)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1067@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1066)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1067@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1066)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1068)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1069@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1068)@101ae2a3001c: setverdict(pass): none -> pass 1069@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1069@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1069@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1069@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1068)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1069@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1068)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1069@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1068)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1071@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1070)@101ae2a3001c: setverdict(pass): none -> pass 1071@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1071@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1071@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1071@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1070)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1071@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1070)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1071@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1070)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1072)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1073@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1072)@101ae2a3001c: setverdict(pass): none -> pass 1073@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1073@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1073@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1073@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1072)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1073@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1072)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1073@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1072)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1064)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1065)@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1063)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1063): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1064): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1065): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1066): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1067: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1068): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1069: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1070): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1071: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1072): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1073: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Tue Sep 10 13:45:39 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3349346) Waiting for packet dumper to finish... 1 (prev_count=3349346, count=3349841) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Tue Sep 10 13:45:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1078@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1077)@101ae2a3001c: setverdict(pass): none -> pass 1078@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1078@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1078@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1078@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1077)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1078@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1077)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1078@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1077)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1079)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1080@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1079)@101ae2a3001c: setverdict(pass): none -> pass 1080@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1080@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1080@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1080@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1079)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1080@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1079)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1080@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1079)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1082@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1081)@101ae2a3001c: setverdict(pass): none -> pass 1082@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1082@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1082@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1082@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1081)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1082@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1081)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1082@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1081)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1083)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1084@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1083)@101ae2a3001c: setverdict(pass): none -> pass 1084@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1084@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1084@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1084@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1083)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1084@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1083)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1084@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1083)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075)@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1076)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1074): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1075): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1076): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1077): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1078: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1079): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1080: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1081): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1082: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1083): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1084: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Tue Sep 10 13:46:04 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20380987) Waiting for packet dumper to finish... 1 (prev_count=20380987, count=20386808) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Tue Sep 10 13:46:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1089@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1088)@101ae2a3001c: setverdict(pass): none -> pass 1089@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1089@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1089@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1089@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1088)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1089@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1088)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1089@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1088)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1090)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1091@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1090)@101ae2a3001c: setverdict(pass): none -> pass 1091@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1091@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1091@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1091@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1090)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1091@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1090)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1091@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1090)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1093@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1092)@101ae2a3001c: setverdict(pass): none -> pass 1093@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1093@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1093@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1093@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1092)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1093@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1092)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1093@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1092)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1094)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1095@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1094)@101ae2a3001c: setverdict(pass): none -> pass 1095@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1095@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1095@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1095@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1094)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1095@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1094)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1095@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1094)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1086)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1087)@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1085)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1085): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1086): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1087): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1088): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1089: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1090): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1091: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1092): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1093: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1094): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1095: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Tue Sep 10 13:46:30 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20392488) Waiting for packet dumper to finish... 1 (prev_count=20392488, count=20392983) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Tue Sep 10 13:46:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1100@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1099)@101ae2a3001c: setverdict(pass): none -> pass 1100@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1100@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1100@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1100@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1099)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1100@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1099)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1100@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1099)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1101)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1102@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1101)@101ae2a3001c: setverdict(pass): none -> pass 1102@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1102@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1102@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1102@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1101)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1102@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1101)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1102@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1101)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_ua_dcch_sapi4(1103)@101ae2a3001c: setverdict(pass): none -> pass 1104@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1104@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1104@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1104@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1104@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1104@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1103)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1104@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1103)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1105)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1106@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1105)@101ae2a3001c: setverdict(pass): none -> pass 1106@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1106@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1106@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1106@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1106@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1105)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1106@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1105)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1097)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1098)@101ae2a3001c: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1096)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1096): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1097): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1098): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1099): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1100: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1101): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1102: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1103): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1104: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1105): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1106: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Tue Sep 10 13:46:56 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20379587) Waiting for packet dumper to finish... 1 (prev_count=20379587, count=20380082) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Tue Sep 10 13:47:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1108)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1108)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1108)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1108)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1108)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1108)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_contention-RSL(1108)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1108)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1108)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1108)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1108)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL(1108)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1108)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1108)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1108)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1108)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1111@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1110)@101ae2a3001c: setverdict(pass): none -> pass 1111@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1111@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1111@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1111@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1111@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1110)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1111@101ae2a3001c: Final verdict of PTC: none TC_sabm_contention(1110)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1112)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1113@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1112)@101ae2a3001c: setverdict(pass): none -> pass 1113@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1113@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1113@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1113@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1113@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1112)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1113@101ae2a3001c: Final verdict of PTC: none TC_sabm_contention(1112)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1115@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1114)@101ae2a3001c: setverdict(pass): none -> pass 1115@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1115@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1115@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1115@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1115@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1114)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1115@101ae2a3001c: Final verdict of PTC: none TC_sabm_contention(1114)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1116)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1117@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1116)@101ae2a3001c: setverdict(pass): none -> pass 1117@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1117@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1117@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1117@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_sabm_contention(1116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1117@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1116)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1117@101ae2a3001c: Final verdict of PTC: none TC_sabm_contention(1116)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1108)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1109)@101ae2a3001c: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1107)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_contention-RSL-IPA(1107): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_contention-RSL(1108): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1109): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_contention(1110): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1111: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_contention(1112): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1113: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_contention(1114): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1115: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_contention(1116): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1117: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_contention finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Tue Sep 10 13:47:22 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20386392) Waiting for packet dumper to finish... 1 (prev_count=20386392, count=20386887) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Tue Sep 10 13:47:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL(1119)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL(1119)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1122@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1121)@101ae2a3001c: setverdict(pass): none -> pass 1122@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1122@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1122@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1122@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1122@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1121)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1122@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit(1121)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1123)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1124@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1123)@101ae2a3001c: setverdict(pass): none -> pass 1124@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1124@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1124@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1124@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1123)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1124@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1123)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1124@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit(1123)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sabm_retransmit(1125)@101ae2a3001c: setverdict(pass): none -> pass 1126@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1126@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1126@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1126@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1126@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1125)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1126@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1125)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1126@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit(1125)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1127)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1128@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1127)@101ae2a3001c: setverdict(pass): none -> pass 1128@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1128@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1128@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1128@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1128@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1127)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1128@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit(1127)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1119)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1120)@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1118)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1118): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit-RSL(1119): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1120): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit(1121): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1122: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit(1123): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1124: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit(1125): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1126: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit(1127): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1128: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_retransmit finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Tue Sep 10 13:47:30 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3822717) Waiting for packet dumper to finish... 1 (prev_count=3822717, count=3828101) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Tue Sep 10 13:47:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1133@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1132)@101ae2a3001c: setverdict(pass): none -> pass 1133@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1133@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1133@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1133@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1132)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1133@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1132)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1133@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit_bts(1132)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1134)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1135@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1134)@101ae2a3001c: setverdict(pass): none -> pass 1135@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1135@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1135@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1135@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1134)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1135@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1134)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1135@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit_bts(1134)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1137@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1136)@101ae2a3001c: setverdict(pass): none -> pass 1137@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1137@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1137@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1137@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1136)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1137@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1136)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1137@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit_bts(1136)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1138)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1138)@101ae2a3001c: setverdict(pass): none -> pass 1139@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1139@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1139@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1139@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1139@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1138)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1139@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1138)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1131)@101ae2a3001c: Final verdict of PTC: none 1139@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL(1130)@101ae2a3001c: Final verdict of PTC: none TC_sabm_retransmit_bts(1138)@101ae2a3001c: Final verdict of PTC: pass TC_sabm_retransmit_bts-RSL-IPA(1129)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1129): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1130): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1131): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit_bts(1132): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1133: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit_bts(1134): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1135: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit_bts(1136): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1137: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_retransmit_bts(1138): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1139: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Tue Sep 10 13:48:56 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=74117585) Waiting for packet dumper to finish... 1 (prev_count=74117585, count=74118080) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Tue Sep 10 13:49:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1144@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): none -> pass 1144@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1144@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1144@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1144@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1144@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1144@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1145@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1145@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1145@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1145@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1145@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1145@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1145@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1146@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1146@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1146@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1146@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1146@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1146@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1143)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1146@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1143)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1147)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1148@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): none -> pass 1148@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1148@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1148@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1148@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1148@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1148@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1147)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1149@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1149@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1149@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1149@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1149@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1149@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1149@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1147)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1150@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1150@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1150@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1150@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1150@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1150@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1147)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1150@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1147)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1152@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): none -> pass 1152@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1152@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1152@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1152@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1152@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1152@101ae2a3001c: Final verdict of PTC: none 1153@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1153@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1153@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1153@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1153@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1153@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1153@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1154@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1154@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1154@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1154@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1154@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1154@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1151)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1154@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1151)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1155)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1156@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): none -> pass 1156@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1156@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1156@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1156@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1156@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1156@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1155)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1157@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1157@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1157@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1157@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1157@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1157@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1157@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1155)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1158@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1158@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1158@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1158@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1158@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1158@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1155)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1158@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp(1155)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1141)@101ae2a3001c: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1140)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1142)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1140): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_invalid_resp-RSL(1141): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1142): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_invalid_resp(1143): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1144: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1145: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1146: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_invalid_resp(1147): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1148: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1149: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1150: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_invalid_resp(1151): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1152: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1153: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1154: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_invalid_resp(1155): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1156: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1157: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1158: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Tue Sep 10 13:49:24 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18345582) Waiting for packet dumper to finish... 1 (prev_count=18345582, count=18346514) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Tue Sep 10 13:49:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1160)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1160)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1160)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1160)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1160)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1160)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL(1160)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1160)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL(1160)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1160)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_sabm_dm-RSL(1160)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1160)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1160)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_sabm_dm-RSL(1160)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1160)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1160)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1163@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1162)@101ae2a3001c: setverdict(pass): none -> pass 1163@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1163@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1163@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1163@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1163@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1162)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1163@101ae2a3001c: Final verdict of PTC: none TC_sabm_dm(1162)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1164)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1165@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1164)@101ae2a3001c: setverdict(pass): none -> pass 1165@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1165@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1165@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1165@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1165@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1164)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1165@101ae2a3001c: Final verdict of PTC: none TC_sabm_dm(1164)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1167@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1166)@101ae2a3001c: setverdict(pass): none -> pass 1167@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1167@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1167@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1167@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1167@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1166)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1167@101ae2a3001c: Final verdict of PTC: none TC_sabm_dm(1166)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1168)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1169@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1168)@101ae2a3001c: setverdict(pass): none -> pass 1169@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1169@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1169@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1169@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1169@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1168)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1169@101ae2a3001c: Final verdict of PTC: none TC_sabm_dm(1168)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1160)@101ae2a3001c: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1159)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1161)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_dm-RSL-IPA(1159): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_dm-RSL(1160): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1161): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_dm(1162): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1163: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_dm(1164): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1165: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_dm(1166): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1167: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_dm(1168): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1169: none (pass -> pass) MTC@101ae2a3001c: Test case TC_sabm_dm finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Tue Sep 10 13:49:43 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13727737) Waiting for packet dumper to finish... 1 (prev_count=13727737, count=13728232) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Tue Sep 10 13:49:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1174@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1173)@101ae2a3001c: setverdict(pass): none -> pass 1174@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1174@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1174@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1174@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1173)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1174@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1173)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1174@101ae2a3001c: Final verdict of PTC: none TC_establish_ign_first_sabm(1173)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1175)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1176@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1175)@101ae2a3001c: setverdict(pass): none -> pass 1176@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1176@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1176@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1176@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1175)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1176@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1175)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1176@101ae2a3001c: Final verdict of PTC: none TC_establish_ign_first_sabm(1175)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1178@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1177)@101ae2a3001c: setverdict(pass): none -> pass 1178@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1178@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1178@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1178@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1177)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1178@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1177)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1178@101ae2a3001c: Final verdict of PTC: none TC_establish_ign_first_sabm(1177)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1179)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1180@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1179)@101ae2a3001c: setverdict(pass): none -> pass 1180@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1180@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1180@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1180@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1179)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1180@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1179)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1180@101ae2a3001c: Final verdict of PTC: none TC_establish_ign_first_sabm(1179)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1171)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1172)@101ae2a3001c: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1170)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1170): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1171): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1172): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_establish_ign_first_sabm(1173): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1174: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_establish_ign_first_sabm(1175): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1176: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_establish_ign_first_sabm(1177): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1178: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_establish_ign_first_sabm(1179): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1180: none (pass -> pass) MTC@101ae2a3001c: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Tue Sep 10 13:49:55 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8085233) Waiting for packet dumper to finish... 1 (prev_count=8085233, count=8088534) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Tue Sep 10 13:49:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1185@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1184)@101ae2a3001c: setverdict(pass): none -> pass 1185@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1185@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1185@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1185@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 0 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 1 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 2 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 3 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 4 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 5 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 6 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 7 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 8 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Starting iteration 9 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1184)@101ae2a3001c: Completed iteration 1185@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1184)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1185@101ae2a3001c: Final verdict of PTC: none TC_iframe_seq_and_ack(1184)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1186)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1187@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1186)@101ae2a3001c: setverdict(pass): none -> pass 1187@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1187@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1187@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1187@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 0 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 1 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 2 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 3 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 4 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 5 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 6 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 7 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 8 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Starting iteration 9 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1186)@101ae2a3001c: Completed iteration 1187@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1186)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1187@101ae2a3001c: Final verdict of PTC: none TC_iframe_seq_and_ack(1186)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1189@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1188)@101ae2a3001c: setverdict(pass): none -> pass 1189@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1189@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1189@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1189@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 0 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 1 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 2 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 3 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 4 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 5 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 6 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 7 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 8 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Starting iteration 9 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1188)@101ae2a3001c: Completed iteration 1189@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1188)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1189@101ae2a3001c: Final verdict of PTC: none TC_iframe_seq_and_ack(1188)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1190)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1191@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1190)@101ae2a3001c: setverdict(pass): none -> pass 1191@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1191@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1191@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1191@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1190)@101ae2a3001c: Starting iteration 0 TC_iframe_seq_and_ack(1190)@101ae2a3001c: Starting iteration 1 TC_iframe_seq_and_ack(1190)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_iframe_seq_and_ack(1190)@101ae2a3001c: Starting iteration 2 TC_iframe_seq_and_ack(1190)@101ae2a3001c: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_seq_and_ack(1190)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 1190 has requested to stop MTC. Terminating current testcase execution. TC_iframe_seq_and_ack-RSL(1182)@101ae2a3001c: Final verdict of PTC: none 1191@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1183)@101ae2a3001c: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1181)@101ae2a3001c: Final verdict of PTC: none TC_iframe_seq_and_ack(1190)@101ae2a3001c: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1181): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1182): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1183): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_seq_and_ack(1184): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1185: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_seq_and_ack(1186): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1187: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_seq_and_ack(1188): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1189: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_seq_and_ack(1190): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@101ae2a3001c: Local verdict of PTC with component reference 1191: none (fail -> fail) MTC@101ae2a3001c: Test case TC_iframe_seq_and_ack finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail'. Tue Sep 10 13:50:29 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24780158) Waiting for packet dumper to finish... 1 (prev_count=24780158, count=24785542) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Tue Sep 10 13:50:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1196@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1195)@101ae2a3001c: setverdict(pass): none -> pass 1196@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1196@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1196@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1196@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1196@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1195)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1196@101ae2a3001c: Final verdict of PTC: none TC_iframe_timer_recovery(1195)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1197)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1198@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1197)@101ae2a3001c: setverdict(pass): none -> pass 1198@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1198@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1198@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1198@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1198@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1197)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1198@101ae2a3001c: Final verdict of PTC: none TC_iframe_timer_recovery(1197)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1200@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1199)@101ae2a3001c: setverdict(pass): none -> pass 1200@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1200@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1200@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1200@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1200@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1199)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1200@101ae2a3001c: Final verdict of PTC: none TC_iframe_timer_recovery(1199)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1201)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1202@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1201)@101ae2a3001c: setverdict(pass): none -> pass 1202@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1202@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1202@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1202@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_timer_recovery(1201)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_iframe_timer_recovery(1201)@101ae2a3001c: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_timer_recovery(1201)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 1201 has requested to stop MTC. Terminating current testcase execution. 1202@101ae2a3001c: Final verdict of PTC: none TC_iframe_timer_recovery-RSL(1193)@101ae2a3001c: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1192)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1194)@101ae2a3001c: Final verdict of PTC: none TC_iframe_timer_recovery(1201)@101ae2a3001c: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1192): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_timer_recovery-RSL(1193): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1194): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_timer_recovery(1195): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1196: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_timer_recovery(1197): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1198: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_timer_recovery(1199): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1200: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_iframe_timer_recovery(1201): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@101ae2a3001c: Local verdict of PTC with component reference 1202: none (fail -> fail) MTC@101ae2a3001c: Test case TC_iframe_timer_recovery finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail'. Tue Sep 10 13:50:59 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20219792) Waiting for packet dumper to finish... 1 (prev_count=20219792, count=20220287) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Tue Sep 10 13:51:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1204)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1204)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1204)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1204)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL(1204)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL(1204)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_ns_seq_error-RSL(1204)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1204)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL(1204)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1204)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1204)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1204)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1204)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_ns_seq_error-RSL(1204)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1204)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1204)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1207@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1206)@101ae2a3001c: setverdict(pass): none -> pass 1207@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1207@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1207@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1207@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1206)@101ae2a3001c: Warning: Re-starting timer T1, which is already active (running or expired). 1207@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1206)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1207@101ae2a3001c: Final verdict of PTC: none TC_ns_seq_error(1206)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1206)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1208)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1209@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1208)@101ae2a3001c: setverdict(pass): none -> pass 1209@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1209@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1209@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1209@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1208)@101ae2a3001c: Warning: Re-starting timer T1, which is already active (running or expired). 1209@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1208)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1209@101ae2a3001c: Final verdict of PTC: none TC_ns_seq_error(1208)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1208)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1211@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1210)@101ae2a3001c: setverdict(pass): none -> pass 1211@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1211@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1211@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1211@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1210)@101ae2a3001c: Warning: Re-starting timer T1, which is already active (running or expired). 1211@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1210)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1211@101ae2a3001c: Final verdict of PTC: none TC_ns_seq_error(1210)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1210)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1212)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1213@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1212)@101ae2a3001c: setverdict(pass): none -> pass 1213@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1213@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1213@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1213@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1212)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_ns_seq_error(1212)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 1212 has requested to stop MTC. Terminating current testcase execution. 1213@101ae2a3001c: Final verdict of PTC: none TC_ns_seq_error-RSL(1204)@101ae2a3001c: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1203)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1205)@101ae2a3001c: Final verdict of PTC: none TC_ns_seq_error(1212)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1203): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ns_seq_error-RSL(1204): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1205): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_ns_seq_error(1206): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1207: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ns_seq_error(1208): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1209: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ns_seq_error(1210): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1211: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_ns_seq_error(1212): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Local verdict of PTC with component reference 1213: none (fail -> fail) MTC@101ae2a3001c: Test case TC_ns_seq_error finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail'. Tue Sep 10 13:51:33 UTC 2024 ------ BTS_Tests_LAPDm.TC_ns_seq_error fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=25159658) Waiting for packet dumper to finish... 1 (prev_count=25159658, count=25160153) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Tue Sep 10 13:51:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL(1215)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL(1215)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1215)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1215)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1215)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL(1215)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1215)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1215)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1215)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1215)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1215)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL(1215)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1215)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1215)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1215)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1215)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1218@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1217)@101ae2a3001c: setverdict(pass): none -> pass 1218@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1218@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1218@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1218@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1218@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1217)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1218@101ae2a3001c: Final verdict of PTC: none TC_nr_seq_error(1217)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1217)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1219)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1220@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1219)@101ae2a3001c: setverdict(pass): none -> pass 1220@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1220@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1220@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1220@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1220@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1219)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1220@101ae2a3001c: Final verdict of PTC: none TC_nr_seq_error(1219)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1219)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1222@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1221)@101ae2a3001c: setverdict(pass): none -> pass 1222@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1222@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1222@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1222@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1222@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1221)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1222@101ae2a3001c: Final verdict of PTC: none TC_nr_seq_error(1221)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1221)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1223)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1224@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1223)@101ae2a3001c: setverdict(pass): none -> pass 1224@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1224@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1224@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1224@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1224@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1223)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1224@101ae2a3001c: Final verdict of PTC: none TC_nr_seq_error(1223)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1223)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1215)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1216)@101ae2a3001c: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1214)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1214): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_nr_seq_error-RSL(1215): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1216): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_nr_seq_error(1217): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1218: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_nr_seq_error(1219): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1220: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_nr_seq_error(1221): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1222: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_nr_seq_error(1223): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1224: none (pass -> pass) MTC@101ae2a3001c: Test case TC_nr_seq_error finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Tue Sep 10 13:51:40 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3631675) Waiting for packet dumper to finish... 1 (prev_count=3631675, count=3632298) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Tue Sep 10 13:51:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1229@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1228)@101ae2a3001c: setverdict(pass): none -> pass 1229@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1229@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1229@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1229@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1229@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1228)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1229@101ae2a3001c: Final verdict of PTC: none TC_rec_invalid_frame(1228)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1228)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1230)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1231@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1230)@101ae2a3001c: setverdict(pass): none -> pass 1231@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1231@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1231@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1231@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1231@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1230)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1231@101ae2a3001c: Final verdict of PTC: none TC_rec_invalid_frame(1230)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1230)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1233@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1232)@101ae2a3001c: setverdict(pass): none -> pass 1233@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1233@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1233@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1233@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1233@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1232)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1233@101ae2a3001c: Final verdict of PTC: none TC_rec_invalid_frame(1232)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1232)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1234)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1235@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1234)@101ae2a3001c: setverdict(pass): none -> pass 1235@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1235@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1235@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1235@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rec_invalid_frame(1234)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"", new component reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" TC_rec_invalid_frame(1234)@101ae2a3001c: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":909 MC@101ae2a3001c: Test Component 1234 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(1227)@101ae2a3001c: Final verdict of PTC: none TC_rec_invalid_frame-RSL(1226)@101ae2a3001c: Final verdict of PTC: none 1235@101ae2a3001c: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1225)@101ae2a3001c: Final verdict of PTC: none TC_rec_invalid_frame(1234)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1225): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rec_invalid_frame-RSL(1226): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1227): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rec_invalid_frame(1228): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1229: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rec_invalid_frame(1230): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1231: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rec_invalid_frame(1232): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1233: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rec_invalid_frame(1234): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@101ae2a3001c: Local verdict of PTC with component reference 1235: none (fail -> fail) MTC@101ae2a3001c: Test case TC_rec_invalid_frame finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail'. Tue Sep 10 13:53:44 UTC 2024 ------ BTS_Tests_LAPDm.TC_rec_invalid_frame fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=111697999) Waiting for packet dumper to finish... 1 (prev_count=111697999, count=111698494) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Tue Sep 10 13:53:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1240@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1239)@101ae2a3001c: setverdict(pass): none -> pass 1240@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1240@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1240@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1240@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1239)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1240@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1239)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1240@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_dcch(1239)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1241)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1242@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1241)@101ae2a3001c: setverdict(pass): none -> pass 1242@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1242@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1242@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1242@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1241)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1242@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1241)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1242@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_dcch(1241)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1244@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1243)@101ae2a3001c: setverdict(pass): none -> pass 1244@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1244@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1244@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1244@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1243)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1244@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1243)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1244@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_dcch(1243)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1245)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1246@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1245)@101ae2a3001c: setverdict(pass): none -> pass 1246@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1246@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1246@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1246@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1245)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch(1245)@101ae2a3001c: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@101ae2a3001c: Test Component 1245 has requested to stop MTC. Terminating current testcase execution. TC_segm_concat_dcch(1245)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch-RSL-IPA(1236)@101ae2a3001c: Final verdict of PTC: none 1246@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_dcch-RSL(1237)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1238)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1236): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_dcch-RSL(1237): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1238): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_dcch(1239): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1240: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_dcch(1241): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1242: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_dcch(1243): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1244: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_dcch(1245): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@101ae2a3001c: Local verdict of PTC with component reference 1246: none (fail -> fail) MTC@101ae2a3001c: Test case TC_segm_concat_dcch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail'. Tue Sep 10 13:54:03 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_dcch fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9756528) Waiting for packet dumper to finish... 1 (prev_count=9756528, count=9757023) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Tue Sep 10 13:54:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1251@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1250)@101ae2a3001c: setverdict(pass): none -> pass 1251@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1251@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1251@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1251@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1250)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1251@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1250)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1251@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_sacch(1250)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1252)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1253@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1252)@101ae2a3001c: setverdict(pass): none -> pass 1253@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1253@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1253@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1253@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1252)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1253@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1252)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1253@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_sacch(1252)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1255@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1254)@101ae2a3001c: setverdict(pass): none -> pass 1255@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1255@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1255@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1255@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1254)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1255@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1254)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1255@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_sacch(1254)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1256)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1257@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1256)@101ae2a3001c: setverdict(pass): none -> pass 1257@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1257@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1257@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1257@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1256)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch(1256)@101ae2a3001c: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@101ae2a3001c: Test Component 1256 has requested to stop MTC. Terminating current testcase execution. TC_segm_concat_sacch(1256)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" 1257@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_sacch-RSL(1248)@101ae2a3001c: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1247)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1249)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1247): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_sacch-RSL(1248): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1249): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_sacch(1250): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1251: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_sacch(1252): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1253: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_sacch(1254): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1255: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_segm_concat_sacch(1256): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" MTC@101ae2a3001c: Local verdict of PTC with component reference 1257: none (fail -> fail) MTC@101ae2a3001c: Test case TC_segm_concat_sacch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail'. Tue Sep 10 13:54:56 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_sacch fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=46985801) Waiting for packet dumper to finish... 1 (prev_count=46985801, count=46986296) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Tue Sep 10 13:55:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL(1259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL(1259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL(1259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1259)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1259)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1259)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL(1259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1259)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1259)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_t200_n200-RSL(1259)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1259)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1262@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1261)@101ae2a3001c: setverdict(pass): none -> pass 1262@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1262@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1262@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1262@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1261)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1262@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1261)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1262@101ae2a3001c: Final verdict of PTC: none TC_t200_n200(1261)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1263)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1264@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1263)@101ae2a3001c: setverdict(pass): none -> pass 1264@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1264@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1264@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1264@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1263)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1264@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1263)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1264@101ae2a3001c: Final verdict of PTC: none TC_t200_n200(1263)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1266@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1265)@101ae2a3001c: setverdict(pass): none -> pass 1266@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1266@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1266@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1266@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1265)@101ae2a3001c: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1266@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1265)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1266@101ae2a3001c: Final verdict of PTC: none TC_t200_n200(1265)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1267)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1268@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1267)@101ae2a3001c: setverdict(pass): none -> pass 1268@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1268@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1268@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1268@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1267)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Missing RSL RLL ERROR INDICATION", new component reason: "Missing RSL RLL ERROR INDICATION" 1268@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1268@101ae2a3001c: Final verdict of PTC: none TC_t200_n200(1267)@101ae2a3001c: Final verdict of PTC: fail reason: "Missing RSL RLL ERROR INDICATION" MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1259)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1260)@101ae2a3001c: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1258)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_t200_n200-RSL-IPA(1258): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_t200_n200-RSL(1259): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1260): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_t200_n200(1261): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1262: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_t200_n200(1263): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1264: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_t200_n200(1265): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1266: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_t200_n200(1267): fail (pass -> fail) reason: "Missing RSL RLL ERROR INDICATION" MTC@101ae2a3001c: Local verdict of PTC with component reference 1268: none (fail -> fail) MTC@101ae2a3001c: Test case TC_t200_n200 finished. Verdict: fail reason: Missing RSL RLL ERROR INDICATION MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail'. Tue Sep 10 13:55:45 UTC 2024 ------ BTS_Tests_LAPDm.TC_t200_n200 fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=38141299) Waiting for packet dumper to finish... 1 (prev_count=38141299, count=38146683) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Tue Sep 10 13:55:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1273@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1272)@101ae2a3001c: setverdict(pass): none -> pass 1273@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1273@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1273@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1273@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1272)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1273@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1272)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1273@101ae2a3001c: Final verdict of PTC: none TC_rr_response_frame_loss(1272)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1274)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1275@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1274)@101ae2a3001c: setverdict(pass): none -> pass 1275@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1275@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1275@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1275@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1274)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1275@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1274)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1275@101ae2a3001c: Final verdict of PTC: none TC_rr_response_frame_loss(1274)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1277@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1276)@101ae2a3001c: setverdict(pass): none -> pass 1277@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1277@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1277@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1277@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1276)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1277@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1276)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1277@101ae2a3001c: Final verdict of PTC: none TC_rr_response_frame_loss(1276)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1278)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1279@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1278)@101ae2a3001c: setverdict(pass): none -> pass 1279@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1279@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1279@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1279@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1278)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Timeout waiting for RR or REJ", new component reason: "Timeout waiting for RR or REJ" 1279@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1279@101ae2a3001c: Final verdict of PTC: none TC_rr_response_frame_loss(1278)@101ae2a3001c: Final verdict of PTC: fail reason: "Timeout waiting for RR or REJ" MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1270)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1271)@101ae2a3001c: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1269)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1269): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rr_response_frame_loss-RSL(1270): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1271): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_rr_response_frame_loss(1272): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1273: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rr_response_frame_loss(1274): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1275: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rr_response_frame_loss(1276): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1277: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_rr_response_frame_loss(1278): fail (pass -> fail) reason: "Timeout waiting for RR or REJ" MTC@101ae2a3001c: Local verdict of PTC with component reference 1279: none (fail -> fail) MTC@101ae2a3001c: Test case TC_rr_response_frame_loss finished. Verdict: fail reason: Timeout waiting for RR or REJ MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail'. Tue Sep 10 13:55:57 UTC 2024 ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6646397) Waiting for packet dumper to finish... 1 (prev_count=6646397, count=6646892) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Tue Sep 10 13:56:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL(1281)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1281)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1281)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1281)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1281)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1281)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_incorrect_cr-RSL(1281)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL(1281)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1281)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1281)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1281)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1281)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1281)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_incorrect_cr-RSL(1281)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1281)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1281)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1284@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1283)@101ae2a3001c: setverdict(pass): none -> pass 1284@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1284@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1284@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1284@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1284@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1283)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1284@101ae2a3001c: Final verdict of PTC: none TC_incorrect_cr(1283)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1285)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1286@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1285)@101ae2a3001c: setverdict(pass): none -> pass 1286@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1286@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1286@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1286@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1286@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1285)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1286@101ae2a3001c: Final verdict of PTC: none TC_incorrect_cr(1285)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1288@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1287)@101ae2a3001c: setverdict(pass): none -> pass 1288@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1288@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1288@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1288@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1288@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1287)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1288@101ae2a3001c: Final verdict of PTC: none TC_incorrect_cr(1287)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1289)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1290@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1289)@101ae2a3001c: setverdict(pass): none -> pass 1290@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1290@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1290@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1290@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1290@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1289)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1290@101ae2a3001c: Final verdict of PTC: none TC_incorrect_cr(1289)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1281)@101ae2a3001c: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1280)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1282)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1280): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_incorrect_cr-RSL(1281): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1282): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_incorrect_cr(1283): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1284: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_incorrect_cr(1285): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1286: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_incorrect_cr(1287): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1288: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_incorrect_cr(1289): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1290: none (pass -> pass) MTC@101ae2a3001c: Test case TC_incorrect_cr finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Tue Sep 10 13:56:17 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15078148) Waiting for packet dumper to finish... 1 (prev_count=15078148, count=15078643) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Tue Sep 10 13:56:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1295@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1294)@101ae2a3001c: setverdict(pass): none -> pass 1295@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1295@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1295@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1295@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1295@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1294)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1295@101ae2a3001c: Final verdict of PTC: none TC_sabm_incorrect_c(1294)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1296)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1297@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1296)@101ae2a3001c: setverdict(pass): none -> pass 1297@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1297@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1297@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1297@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1297@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1296)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1297@101ae2a3001c: Final verdict of PTC: none TC_sabm_incorrect_c(1296)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1299@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1298)@101ae2a3001c: setverdict(pass): none -> pass 1299@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1299@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1299@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1299@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1299@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1298)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1299@101ae2a3001c: Final verdict of PTC: none TC_sabm_incorrect_c(1298)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1300)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1301@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1300)@101ae2a3001c: setverdict(pass): none -> pass 1301@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1301@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1301@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1301@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_incorrect_c(1300)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_sabm_incorrect_c(1300)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 1300 has requested to stop MTC. Terminating current testcase execution. 1301@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1293)@101ae2a3001c: Final verdict of PTC: none TC_sabm_incorrect_c-RSL(1292)@101ae2a3001c: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1291)@101ae2a3001c: Final verdict of PTC: none TC_sabm_incorrect_c(1300)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1291): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_incorrect_c-RSL(1292): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1293): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_incorrect_c(1294): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1295: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_incorrect_c(1296): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1297: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_incorrect_c(1298): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1299: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_sabm_incorrect_c(1300): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@101ae2a3001c: Local verdict of PTC with component reference 1301: none (fail -> fail) MTC@101ae2a3001c: Test case TC_sabm_incorrect_c finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail'. Tue Sep 10 13:56:45 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18833035) Waiting for packet dumper to finish... 1 (prev_count=18833035, count=18838856) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Tue Sep 10 13:56:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL(1303)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1306@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1305)@101ae2a3001c: setverdict(pass): none -> pass 1306@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1306@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1306@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1306@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1305)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1305)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1306@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1305)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1306@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment(1305)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1307)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1308@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1307)@101ae2a3001c: setverdict(pass): none -> pass 1308@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1308@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1308@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1308@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1307)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1307)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1308@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1307)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1308@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment(1307)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1310@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1309)@101ae2a3001c: setverdict(pass): none -> pass 1310@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1310@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1310@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1310@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1309)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1309)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1310@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1309)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1310@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment(1309)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1311)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1312@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1311)@101ae2a3001c: setverdict(pass): none -> pass 1312@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1312@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1312@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1312@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1311)@101ae2a3001c: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '31728867B9'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '31728867B9'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" TC_normal_reestablishment(1311)@101ae2a3001c: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@101ae2a3001c: Test Component 1311 has requested to stop MTC. Terminating current testcase execution. 1312@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment-RSL(1303)@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1302)@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment(1311)@101ae2a3001c: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '31728867B9'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" IPA-CTRL-CLI-IPA(1304)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1302): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment-RSL(1303): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1304): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment(1305): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1306: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment(1307): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1308: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment(1309): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1310: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment(1311): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '31728867B9'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" MTC@101ae2a3001c: Local verdict of PTC with component reference 1312: none (fail -> fail) MTC@101ae2a3001c: Test case TC_normal_reestablishment finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '31728867B9'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail'. Tue Sep 10 13:57:05 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16019054) Waiting for packet dumper to finish... 1 (prev_count=16019054, count=16024438) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Tue Sep 10 13:57:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1317@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1316)@101ae2a3001c: setverdict(pass): none -> pass 1317@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1317@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1317@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1317@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1316)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1317@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1316)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1317@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1316)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1318)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1319@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1318)@101ae2a3001c: setverdict(pass): none -> pass 1319@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1319@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1319@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1319@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1318)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1319@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1318)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1319@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1318)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1321@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1320)@101ae2a3001c: setverdict(pass): none -> pass 1321@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1321@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1321@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1321@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1320)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1321@101ae2a3001c: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1320)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed 1321@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1320)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1322)@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1323@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1322)@101ae2a3001c: setverdict(pass): none -> pass 1323@101ae2a3001c: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1323@101ae2a3001c: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1323@101ae2a3001c: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1323@101ae2a3001c: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1322)@101ae2a3001c: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND <unbound>", new component reason: "Received RSL ERROR IND <unbound>" TC_normal_reestablishment_state_unacked(1322)@101ae2a3001c: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_normal_reestablishment_state_unacked(1322)@101ae2a3001c: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@101ae2a3001c: Test Component 1322 has requested to stop MTC. Terminating current testcase execution. 1323@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL(1314)@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1313)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1315)@101ae2a3001c: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1322)@101ae2a3001c: Final verdict of PTC: fail reason: "Received RSL ERROR IND <unbound>" MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1313): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1314): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1315): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1316): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1317: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1318): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1319: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1320): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC with component reference 1321: none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_normal_reestablishment_state_unacked(1322): fail (pass -> fail) reason: "Received RSL ERROR IND <unbound>" MTC@101ae2a3001c: Local verdict of PTC with component reference 1323: none (fail -> fail) MTC@101ae2a3001c: Test case TC_normal_reestablishment_state_unacked finished. Verdict: fail reason: Received RSL ERROR IND <unbound> MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail'. Tue Sep 10 13:57:40 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail ------ Saving talloc report from 172.18.0.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=25051546) Waiting for packet dumper to finish... 1 (prev_count=25051546, count=25056930) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail' was executed successfully (exit status: 0). MC@101ae2a3001c: Test execution finished. MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Tue Sep 10 13:57:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1327)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1328)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1327)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1327)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1328)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1328)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1329)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1330)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1329)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1329)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1330)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1330)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1331)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1332)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1331)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1331)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1332)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1332)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1333)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1334)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1333)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1333)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vff(1334)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1334)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1325)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1326)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1324)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1324): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1325): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1326): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1327): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1328): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1329): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1330): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1331): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1332): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1333): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vff(1334): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Tue Sep 10 13:57:47 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2906198) Waiting for packet dumper to finish... 1 (prev_count=2906198, count=2906693) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Tue Sep 10 13:57:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1338)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1339)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1340)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1341)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1338)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1338)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1339)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1339)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1340)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1340)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1341)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1341)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1342)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1343)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1344)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1345)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1342)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1342)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1343)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1343)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1344)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1344)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1345)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1345)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1346)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1347)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1348)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1349)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1346)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1346)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1347)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1347)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1348)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1348)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1349)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1349)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1350)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1351)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1352)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1353)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1350)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1350)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1351)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1351)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1352)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1352)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1353)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1353)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1336)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1335)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1337)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1335): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1336): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1337): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1338): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1339): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1340): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1341): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1342): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1343): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1344): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1345): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1346): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1347): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1348): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1349): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1350): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1351): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1352): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_vhh(1353): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Tue Sep 10 13:57:53 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3151781) Waiting for packet dumper to finish... 1 (prev_count=3151781, count=3152276) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Tue Sep 10 13:57:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1357)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1358)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1359)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1357)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1357)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1358)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1358)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1359)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1359)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1360)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1361)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1362)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1360)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1360)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1361)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1361)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1362)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1362)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1363)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1364)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1365)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1363)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1363)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1364)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1364)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1365)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1365)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1366)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1367)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1368)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1366)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1366)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1367)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1367)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1368)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1368)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1355)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1354)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1356)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1354): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1355): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1356): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1357): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1358): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1359): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1360): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1361): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1362): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1363): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1364): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1365): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1366): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1367): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_hvhh(1368): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Tue Sep 10 13:58:00 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3044826) Waiting for packet dumper to finish... 1 (prev_count=3044826, count=3045321) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Tue Sep 10 13:58:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1372)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1373)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1372)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1372)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1373)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1373)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1374)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1375)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1374)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1374)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1375)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1375)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1376)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1377)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1376)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1376)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1377)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1377)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1378)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1379)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1378)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1378)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1379)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1379)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1370)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1371)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1369): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1370): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1371): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1372): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1373): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1374): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1375): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1376): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1377): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1378): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1379): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Tue Sep 10 13:58:07 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2943130) Waiting for packet dumper to finish... 1 (prev_count=2943130, count=2943625) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Tue Sep 10 13:58:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1383)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1384)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1383)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1383)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1384)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1384)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1385)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1386)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1385)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1385)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1386)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1386)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1387)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1388)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1387)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1387)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1388)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1388)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1389)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1390)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1389)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1389)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1390)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1390)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1381)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1382)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1380): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1381): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1382): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1383): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1384): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1385): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1386): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1387): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1388): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1389): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1390): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Tue Sep 10 13:58:14 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2917857) Waiting for packet dumper to finish... 1 (prev_count=2917857, count=2918789) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Tue Sep 10 13:58:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1394)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1395)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1396)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1397)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1394)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1394)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1395)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1395)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1396)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1396)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1397)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1397)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1398)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1399)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1400)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1401)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1402)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1403)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1404)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1405)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1407)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1408)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1409)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1406)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1407)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1407)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1408)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1408)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1409)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1409)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1393)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1391): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1392): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1393): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1394): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1395): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1396): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1397): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1398): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1399): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1400): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1401): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1402): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1403): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1404): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1405): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1406): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1407): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1408): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1409): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Tue Sep 10 13:58:21 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3120327) Waiting for packet dumper to finish... 1 (prev_count=3120327, count=3120822) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Tue Sep 10 13:58:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: Established a new IPA connection (conn_id=5) MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1413)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1414)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1415)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1413)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1413)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1414)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1414)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1415)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1415)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1416)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1416)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1416)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1417)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1418)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1419)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1420)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1421)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1422)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1423)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1424)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1422)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1422)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1423)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1423)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1424)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1424)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1412)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1410): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1411): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1412): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1413): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1414): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1415): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1416): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1417): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1418): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1419): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1420): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1421): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1422): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1423): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1424): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Tue Sep 10 13:58:28 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3011545) Waiting for packet dumper to finish... 1 (prev_count=3011545, count=3012040) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Tue Sep 10 13:58:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1428)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1428)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1428)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1428)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1429)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1429)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1429)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1429)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1430)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1430)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1430)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1430)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1431)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1431)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1432)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1432)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1433)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1433)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1433)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1433)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1434)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1434)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1434)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1434)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1435)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1435)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1435)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1435)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1426)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1425)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1427)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1425): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1426): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1427): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1428): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1429): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1430): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1431): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1432): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1433): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1434): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1435): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Tue Sep 10 13:58:34 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2139981) Waiting for packet dumper to finish... 1 (prev_count=2139981, count=2140913) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Tue Sep 10 13:58:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1439)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1439)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1439)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1439)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1440)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1440)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1440)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1440)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1441)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1441)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1441)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1441)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1442)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1442)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1442)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1442)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1443)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1443)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1443)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1443)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1444)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1444)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1444)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1444)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1445)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1445)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1446)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1446)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1447)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1447)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1447)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1447)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1448)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1448)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1448)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1448)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1449)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1449)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1449)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1450)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1450)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1450)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1450)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1451)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1451)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1451)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1451)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1452)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1452)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1452)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1452)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1453)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1453)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1453)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1453)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1454)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1454)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1454)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1454)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1437)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1438)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1436): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1437): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1438): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1439): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1440): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1441): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1442): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1443): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1444): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1445): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1446): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1447): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1448): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1449): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1450): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1451): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1452): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1453): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1454): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Tue Sep 10 13:58:40 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2324814) Waiting for packet dumper to finish... 1 (prev_count=2324814, count=2330326) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Tue Sep 10 13:58:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1458)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1458)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1458)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1458)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1459)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1459)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1459)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1459)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1460)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1460)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1460)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1460)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1461)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1461)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1462)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1462)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1462)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1462)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1463)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1463)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1464)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1465)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1465)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1465)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1465)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1466)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1466)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1467)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1467)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1467)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1467)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1468)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1468)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1468)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1468)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1469)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1469)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1469)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1469)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1456)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1457)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1455): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1456): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1457): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1458): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1459): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1460): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1461): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1462): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1463): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1464): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1465): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1466): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1467): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1468): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1469): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Tue Sep 10 13:58:45 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2221465) Waiting for packet dumper to finish... 1 (prev_count=2221465, count=2226849) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Tue Sep 10 13:58:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1478)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1479)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1480)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1472)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1470): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1471): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1472): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1473): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1474): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1475): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1476): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1477): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1478): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1479): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1480): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Tue Sep 10 13:58:51 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2154670) Waiting for packet dumper to finish... 1 (prev_count=2154670, count=2155165) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Tue Sep 10 13:58:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1489)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1490)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1491)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1483)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1481): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1482): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1483): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1484): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1485): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1486): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1487): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1488): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1489): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1490): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1491): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Tue Sep 10 13:58:57 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2153623) Waiting for packet dumper to finish... 1 (prev_count=2153623, count=2154118) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Tue Sep 10 13:59:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1494)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1492): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1493): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1494): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1508): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1509): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1510): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Tue Sep 10 13:59:03 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2329415) Waiting for packet dumper to finish... 1 (prev_count=2329415, count=2329910) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Tue Sep 10 13:59:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524)@101ae2a3001c: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@101ae2a3001c: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512)@101ae2a3001c: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1513)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1511): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1512): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1513): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514): pass (none -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1523): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1524): pass (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1525): pass (pass -> pass) MTC@101ae2a3001c: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Tue Sep 10 13:59:08 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2235158) Waiting for packet dumper to finish... 1 (prev_count=2235158, count=2235653) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@101ae2a3001c: Test execution finished. MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Tue Sep 10 13:59:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1527)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1527)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1527)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1527)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1527)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1527)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vbs_notification-RSL(1527)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1527)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1527)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1527)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1527)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL(1527)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1527)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vbs_notification-RSL(1527)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1527)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1527)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E5040083'O MTC@101ae2a3001c: Sending RSL NOTIF_CMD (start) MTC@101ae2a3001c: Received matching NOTIFICATION/NCH. MTC@101ae2a3001c: Received matching NOTIFICATION/NCH. MTC@101ae2a3001c: Sending RSL NOTIF_CMD (stop) MTC@101ae2a3001c: Not received NOTIFICATION/NCH. (as expected) MTC@101ae2a3001c: setverdict(pass): none -> pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":134 TC_vbs_notification-RSL(1527)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1528)@101ae2a3001c: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1526)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: pass MTC@101ae2a3001c: Local verdict of PTC TC_vbs_notification-RSL-IPA(1526): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC TC_vbs_notification-RSL(1527): none (pass -> pass) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1528): none (pass -> pass) MTC@101ae2a3001c: Test case TC_vbs_notification finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass'. Tue Sep 10 13:59:17 UTC 2024 ====== BTS_Tests_ASCI.TC_vbs_notification pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5225893) Waiting for packet dumper to finish... 1 (prev_count=5225893, count=5226516) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Tue Sep 10 13:59:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1532)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":197 TC_vgcs_uplink_free_and_busy-RSL(1530)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1531)@101ae2a3001c: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1529)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1529): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1530): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1531): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1532): pass (none -> pass) MTC@101ae2a3001c: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Tue Sep 10 13:59:23 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2627796) Waiting for packet dumper to finish... 1 (prev_count=2627796, count=2628291) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Tue Sep 10 13:59:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1536)@101ae2a3001c: Activating VGCS channel. TC_vgcs_talker_fail(1536)@101ae2a3001c: setverdict(pass): none -> pass TC_vgcs_talker_fail(1536)@101ae2a3001c: Send UPLINK FREE. TC_vgcs_talker_fail(1536)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1536)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1536)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_talker_fail(1536)@101ae2a3001c: Send UPLINK ACCESS. TC_vgcs_talker_fail(1536)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1536)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1536)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_fail(1536)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1536)@101ae2a3001c: Received VGCS UPLINK GRANT. TC_vgcs_talker_fail(1536)@101ae2a3001c: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_fail(1536)@101ae2a3001c: RSL Conn Fail Ind has been detected as expected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } TC_vgcs_talker_fail(1536)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1536)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":297 TC_vgcs_talker_fail-RSL(1534)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1535)@101ae2a3001c: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1533)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1533): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_talker_fail-RSL(1534): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1535): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_talker_fail(1536): pass (none -> pass) MTC@101ae2a3001c: Test case TC_vgcs_talker_fail finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass'. Tue Sep 10 13:59:44 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_fail pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20270873) Waiting for packet dumper to finish... 1 (prev_count=20270873, count=20271805) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Tue Sep 10 13:59:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Activating VGCS channel. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Send UPLINK FREE. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 6, m := false, el := 1, payload := '0609C0048800'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++") } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Received VGCS UPLINK GRANT. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: RSL Talker Detect has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_TALKER_DET (53), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Send UPLINK FREE. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { bter := { payload := '0353012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1540)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Received UPLINK FREE. TC_vgcs_talker_est_rel(1540)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1540)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":340 TC_vgcs_talker_est_rel-RSL(1538)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1539)@101ae2a3001c: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1537)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1537): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1538): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1539): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_talker_est_rel(1540): pass (none -> pass) MTC@101ae2a3001c: Test case TC_vgcs_talker_est_rel finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass'. Tue Sep 10 13:59:50 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2384778) Waiting for packet dumper to finish... 1 (prev_count=2384778, count=2390162) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass' was executed successfully (exit status: 0). MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Tue Sep 10 13:59:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@101ae2a3001c: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@101ae2a3001c: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Mapped TRX#0 to TCP/IP conn_id=2 MTC@101ae2a3001c: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@101ae2a3001c: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Mapped TRX#1 to TCP/IP conn_id=3 MTC@101ae2a3001c: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@101ae2a3001c: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Mapped TRX#2 to TCP/IP conn_id=4 MTC@101ae2a3001c: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D30302D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@101ae2a3001c: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Mapped TRX#3 to TCP/IP conn_id=5 MTC@101ae2a3001c: 4/4 transceiver(s) connected MTC@101ae2a3001c: 4/4 RF Resource Indication(s) received MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@101ae2a3001c: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@101ae2a3001c: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1544)@101ae2a3001c: Activating VGCS channel. TC_vgcs_listener_det(1544)@101ae2a3001c: setverdict(pass): none -> pass TC_vgcs_listener_det(1544)@101ae2a3001c: Send UPLINK ACCESS. TC_vgcs_listener_det(1544)@101ae2a3001c: RSL Talker Listener has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_LISTENER_DET (54), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_vgcs_listener_det(1544)@101ae2a3001c: Send second UPLINK ACCESS. TC_vgcs_listener_det(1544)@101ae2a3001c: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1544)@101ae2a3001c: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_listener_det(1544)@101ae2a3001c: Final verdict of PTC: pass MTC@101ae2a3001c: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":418 TC_vgcs_listener_det-RSL(1542)@101ae2a3001c: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1543)@101ae2a3001c: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1541)@101ae2a3001c: Final verdict of PTC: none MTC@101ae2a3001c: Setting final verdict of the test case. MTC@101ae2a3001c: Local verdict of MTC: none MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1541): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_listener_det-RSL(1542): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC IPA-CTRL-CLI-IPA(1543): none (none -> none) MTC@101ae2a3001c: Local verdict of PTC TC_vgcs_listener_det(1544): pass (none -> pass) MTC@101ae2a3001c: Test case TC_vgcs_listener_det finished. Verdict: pass MTC@101ae2a3001c: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass'. Tue Sep 10 13:59:58 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_listener_det pass ====== Saving talloc report from 172.18.0.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4529287) Waiting for packet dumper to finish... 1 (prev_count=4529287, count=4529782) MTC@101ae2a3001c: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det pass' was executed successfully (exit status: 0). MC@101ae2a3001c: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@101ae2a3001c: Terminating MTC. MC@101ae2a3001c: MTC terminated. MC2> exit MC@101ae2a3001c: Shutting down session. MC@101ae2a3001c: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-hopping-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_toa256 pass->FAIL BTS_Tests.TC_meas_res_sign_tchf pass->FAIL BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass->FAIL BTS_Tests.TC_meas_res_sign_sdcch8 pass->FAIL BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass->FAIL BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass->FAIL BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass->FAIL BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass->FAIL BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_0 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_3 pass->FAIL BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass->FAIL BTS_Tests.TC_acch_overpower_always_on_facch pass->FAIL BTS_Tests.TC_acch_overpower_always_on_sacch pass->FAIL BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass->FAIL BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass->FAIL BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass->FAIL BTS_Tests_LAPDm.TC_iframe_timer_recovery pass->FAIL BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass->FAIL BTS_Tests_LAPDm.TC_rec_invalid_frame pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_dcch pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_sacch pass->FAIL BTS_Tests_LAPDm.TC_t200_n200 pass->FAIL BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass->FAIL BTS_Tests_LAPDm.TC_sabm_incorrect_c pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass BTS_Tests_ASCI.TC_vgcs_talker_fail pass BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 33 xfail: 5 pass: 204 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-trxcon + docker kill jenkins-ttcn3-bts-test-io_uring-120-trxcon jenkins-ttcn3-bts-test-io_uring-120-trxcon + docker wait jenkins-ttcn3-bts-test-io_uring-120-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-fake_trx + docker kill jenkins-ttcn3-bts-test-io_uring-120-fake_trx jenkins-ttcn3-bts-test-io_uring-120-fake_trx + docker wait jenkins-ttcn3-bts-test-io_uring-120-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-bsc + docker kill jenkins-ttcn3-bts-test-io_uring-120-bsc jenkins-ttcn3-bts-test-io_uring-120-bsc + docker wait jenkins-ttcn3-bts-test-io_uring-120-bsc 137 + docker_kill_wait jenkins-ttcn3-bts-test-io_uring-120-bts + docker kill jenkins-ttcn3-bts-test-io_uring-120-bts jenkins-ttcn3-bts-test-io_uring-120-bts + docker wait jenkins-ttcn3-bts-test-io_uring-120-bts 137 + clean_up_common + set +e + set +x ### Clean up ### + trap - EXIT INT TERM 0 + type clean_up + clean_up + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + sed -i s/classname='\([^']\+\)'/classname='\1:hopping'/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/junit-xml-hopping-21.log + network_clean + docker network inspect ttcn3-bts-test-0 + grep Name + cut -d : -f2 + awk -F" NR>1{print $2} + local containers= + [ -n ] + network_remove + set +x Removing network ttcn3-bts-test-0 + docker network remove ttcn3-bts-test-0 ttcn3-bts-test-0 + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/unix + fix_perms + set +x Fixing permissions + id -u + id -g + docker run --rm -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/_cache:/cache --name jenkins-ttcn3-bts-test-io_uring-120-cleaner debian:bookworm sh -e -x -c chmod -R a+rX /data/ /cache/ chown -R 1000:1000 /data /cache + chmod -R a+rX /data/ /cache/ + chown -R 1000:1000 /data /cache + collect_logs + cat /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-generic/junit-xml-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-hopping/junit-xml-hopping-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-io_uring/logs/bts-tester-oml/junit-xml-oml-21.log <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='8' errors='0' skipped='0' inconc='0' time='3979.00'> <testcase classname='BTS_Tests' name='TC_est_dchan' time='14.944040'/> <testcase classname='BTS_Tests' name='TC_chan_act_stress' time='2.873017'/> <testcase classname='BTS_Tests' name='TC_chan_act_react' time='1.120497'/> <testcase classname='BTS_Tests' name='TC_chan_deact_not_active' time='1.217950'/> <testcase classname='BTS_Tests' name='TC_chan_act_wrong_nr' time='1.446630'/> <testcase classname='BTS_Tests' name='TC_deact_sacch' time='90.495377'/> <testcase classname='BTS_Tests' name='TC_sacch_filling' time='14.308684'/> <testcase classname='BTS_Tests' name='TC_sacch_info_mod' time='29.593939'/> <testcase classname='BTS_Tests' name='TC_sacch_multi' time='85.586573'/> <testcase classname='BTS_Tests' name='TC_sacch_multi_chg' time='94.283856'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act' time='29.262935'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_async' time='29.782531'/> <testcase classname='BTS_Tests' name='TC_sacch_chan_act_ho_sync' time='47.569231'/> <testcase classname='BTS_Tests' name='TC_rach_content' time='14.321381'/> <testcase classname='BTS_Tests' name='TC_rach_content_emerg' time='9.752276'/> <testcase classname='BTS_Tests' name='TC_rach_count' time='13.864682'/> <testcase classname='BTS_Tests' name='TC_rach_max_ta' time='10.677989'/> <testcase classname='BTS_Tests' name='TC_ho_rach' time='3.799881'/> <testcase classname='BTS_Tests' name='TC_ho_physical_info' time='3.245241'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 125, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rach_load_idle_thresh0' time='11.689974'/> <testcase classname='BTS_Tests' name='TC_rach_load_idle_below_thresh' time='6.136700'/> <testcase classname='BTS_Tests' name='TC_rach_load_count' time='20.937459'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf' time='9.011077'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_facch' time='9.128599'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh' time='17.187962'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_facch' time='17.059128'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_toa256' time='17.059052'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchf' time='33.221033'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh' time='16.815171'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch4' time='33.216867'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_sdcch8' time='65.294614'/> <testcase classname='BTS_Tests' name='TC_meas_res_sign_tchh_toa256' time='21.499721'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchf_sapi3' time='8.999330'/> <testcase classname='BTS_Tests' name='TC_meas_res_speech_tchh_sapi3' time='9.115628'/> <testcase classname='BTS_Tests' name='TC_tx_power_start_ramp_up_bcch' time='7.707610'/> <testcase classname='BTS_Tests' name='TC_tx_power_down_bcch' time='10.771794'/> <testcase classname='BTS_Tests' name='TC_tx_power_ramp_adm_state_change' time='21.170166'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9289 BTS_Tests control part BTS_Tests.ttcn:3039 TC_tx_power_ramp_adm_state_change testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_ass' time='1.820889'/> <testcase classname='BTS_Tests' name='TC_rsl_bs_pwr_static_power_control' time='2.034303'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_ctrl' time='62.582123'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active' time='15.584065'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_active2' time='11.925645'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_up' time='20.644222'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_down' time='11.314311'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.684907'/> <testcase classname='BTS_Tests' name='TC_rsl_ms_pwr_dyn_max' time='11.138137'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ms_pwr' time='2.766985'/> <testcase classname='BTS_Tests' name='TC_rsl_chan_initial_ta' time='1.603019'/> <testcase classname='BTS_Tests' name='TC_rsl_modify_encr' time='13.924337'/> <testcase classname='BTS_Tests' name='TC_rsl_rf_resource_ind' time='14.868926'/> <testcase classname='BTS_Tests' name='TC_conn_fail_crit' time='17.687953'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_80percent' time='22.470386'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_80percent' time='22.398095'/> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent' time='34.407957'/> <testcase classname='BTS_Tests' name='TC_paging_tmsi_200percent' time='28.220155'/> <testcase classname='BTS_Tests' name='TC_rsl_protocol_error' time='0.773485'/> <testcase classname='BTS_Tests' name='TC_rsl_mand_ie_error' time='1.209901'/> <testcase classname='BTS_Tests' name='TC_rsl_ie_content_error' time='1.203405'/> <testcase classname='BTS_Tests' name='TC_si_sched_default' time='9.235167'/> <testcase classname='BTS_Tests' name='TC_si_sched_1' time='9.115336'/> <testcase classname='BTS_Tests' name='TC_si_sched_2bis' time='9.121937'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter' time='9.131015'/> <testcase classname='BTS_Tests' name='TC_si_sched_2ter_2bis' time='9.136629'/> <testcase classname='BTS_Tests' name='TC_si_sched_2quater' time='17.139514'/> <testcase classname='BTS_Tests' name='TC_si_sched_13' time='8.993148'/> <testcase classname='BTS_Tests' name='TC_si_sched_13_2bis_2ter_2quater' time='17.150623'/> <testcase classname='BTS_Tests' name='TC_ipa_dlcx_not_active' time='1.035964'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_twice_not_active' time='1.224411'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.219222'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.231572'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_sdcch_not_active' time='1.254762'/> <testcase classname='BTS_Tests' name='TC_ipa_crcx_ack_addr' time='1.237604'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_paging_imsi_200percent_with_ps' time='34.692151'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req' time='3.786508'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_ts' time='7.193011'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_bts' time='7.181567'/> <testcase classname='BTS_Tests' name='TC_pcu_act_req_wrong_trx' time='7.169634'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req' time='9.173502'/> <testcase classname='BTS_Tests' name='TC_pcu_deact_req_wrong_ts' time='5.141667'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si1' time='1.182924'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si3' time='1.207022'/> <testcase classname='BTS_Tests' name='TC_pcu_ver_si13' time='1.213816'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pdtch' time='4.294133'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ptcch' time='4.879581'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_bts' time='9.197756'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_trx' time='9.164036'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_wrong_ts' time='9.155613'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_ts_inactive' time='6.151445'/> <testcase classname='BTS_Tests' name='TC_pcu_ptcch' time='6.777498'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_agch' time='4.209646'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_pch' time='4.263836'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_pch' time='1.429368'/> <testcase classname='BTS_Tests' name='TC_pcu_data_req_imm_ass_agch' time='1.419273'/> <testcase classname='BTS_Tests' name='TC_pcu_rach_content' time='9.944006'/> <testcase classname='BTS_Tests' name='TC_pcu_ext_rach_content' time='9.800652'/> <testcase classname='BTS_Tests' name='TC_pcu_data_ind_lqual_cb' time='4.639449'/> <testcase classname='BTS_Tests' name='TC_pcu_paging_from_rsl' time='1.276308'/> <testcase classname='BTS_Tests' name='TC_pcu_time_ind' time='9.023135'/> <testcase classname='BTS_Tests' name='TC_pcu_rts_req' time='9.154601'/> <testcase classname='BTS_Tests' name='TC_pcu_oml_alert' time='4.191750'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_pcu_rr_suspend' time='1.607614'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_multi' time='1.203859'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_reconnect' time='3.204588'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.942516'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.266142'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si3gprs' time='3.958739'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_connect_si4gprs' time='3.244117'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.885945'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.101400'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_verify_info_ind' time='3.132651'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_act_deact' time='4.228150'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_double_act' time='1.202903'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_deact' time='4.236084'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.229668'/> <testcase classname='BTS_Tests' name='TC_pcu_info_ind_fh_params' time='1.197746'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv4' time='1.200867'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_nsvc_ipv6' time='1.178290'/> <testcase classname='BTS_Tests' name='TC_pcu_socket_two_nsvc' time='1.212648'/> <testcase classname='BTS_Tests' name='TC_pcu_interf_ind' time='6.439498'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_unsol_deact' time='1.170436'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchf_act' time='1.222636'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_act' time='1.235130'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.253771'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.831901'/> <testcase classname='BTS_Tests' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.819933'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act' time='1.215412'/> <testcase classname='BTS_Tests' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.221561'/> <testcase classname='BTS_Tests' name='TC_rll_est_ind' time='43.363249'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_DCCH_3' time='1.090804'/> <testcase classname='BTS_Tests' name='TC_rll_est_req_ACCH_3' time='2.941193'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_0' time='2.448112'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_DCCH_3' time='2.457529'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_0' time='8.631016'/> <testcase classname='BTS_Tests' name='TC_rll_rel_ind_ACCH_3' time='8.544528'/> <testcase classname='BTS_Tests' name='TC_rll_rel_req' time='20.191841'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_DCCH' time='2.127385'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_req_ACCH' time='4.806443'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_DCCH' time='2.430794'/> <testcase classname='BTS_Tests' name='TC_rll_unit_data_ind_ACCH' time='8.640123'/> <testcase classname='BTS_Tests' name='TC_chan_act_a51' time='1.906308'/> <testcase classname='BTS_Tests' name='TC_chan_act_a52' time='2.232580'/> <testcase classname='BTS_Tests' name='TC_chan_act_a53' time='2.232237'/> <testcase classname='BTS_Tests' name='TC_chan_act_a54' time='2.227723'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a51' time='4.269109'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a52' time='4.231932'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a53' time='4.240282'/> <testcase classname='BTS_Tests' name='TC_encr_cmd_a54' time='4.242228'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_mdisc' time='1.203684'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_msg_type' time='1.241774'/> <testcase classname='BTS_Tests' name='TC_err_rep_wrong_sequence' time='1.216236'/> <testcase classname='BTS_Tests' name='TC_lapdm_selftest' time='0.003967'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame' time='18.370404'/> <testcase classname='BTS_Tests' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.019909'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_chopped_ipa_ping' time='24.688487'/> <testcase classname='BTS_Tests' name='TC_chopped_ipa_payload' time='42.563006'/> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_constant' time='16.293810'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_ms_pwr_ctrl_pf_ewma' time='31.338709'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchf' time='7.224417'/> <testcase classname='BTS_Tests' name='TC_speech_no_rtp_tchh' time='5.394691'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchf' time='7.475674'/> <testcase classname='BTS_Tests' name='TC_speech_rtp_tchh' time='5.407105'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchf' time='3.338156'/> <testcase classname='BTS_Tests' name='TC_speech_osmux_tchh' time='3.385661'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf144' time='1.203168'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf96' time='2.091803'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf48' time='2.025240'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh48' time='2.351058'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchf24' time='1.761816'/> <testcase classname='BTS_Tests' name='TC_data_rtp_tchh24' time='2.351236'/> <testcase classname='BTS_Tests' name='TC_early_immediate_assignment' time='3.515728'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh' time='21.621695'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_rxqual_thresh_dtx' time='13.813314'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_facch' time='11.992183'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_always_on_sacch' time='12.019478'/> <testcase classname='BTS_Tests' name='TC_acch_overpower_limit' time='11.988140'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbch_load_idle_no_cbch' time='11.112740'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_1block' time='14.232252'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_2block' time='14.174557'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_3block' time='14.150363'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_4block' time='14.126552'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_multi' time='20.146053'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.083357'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.172230'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.144703'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.135499'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_idle' time='15.967067'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch4_load_overload' time='18.167662'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_1block' time='14.191672'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_2block' time='14.142849'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_3block' time='14.136761'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_4block' time='14.134295'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_multi' time='20.146472'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.072640'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_only' time='16.159065'/> <testcase classname='BTS_Tests_SMSCB' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.136057'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_idle' time='16.074990'/> <testcase classname='BTS_Tests_SMSCB' name='TC_cbc_sdcch8_load_overload' time='18.163289'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro' time='12.123796'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_p1ro_end' time='16.105496'/> <testcase classname='BTS_Tests_SMSCB' name='TC_etws_pcu' time='1.035337'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0' time='2.398659'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi0_nopayload' time='21.457081'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi3' time='21.158854'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_ua_dcch_sapi4' time='21.211299'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_contention' time='21.175217'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit' time='3.250884'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_retransmit_bts' time='81.461103'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_invalid_resp' time='22.152465'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_dm' time='13.872268'/> <testcase classname='BTS_Tests_LAPDm' name='TC_establish_ign_first_sabm' time='7.831813'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_seq_and_ack' time='14.335641'/> <testcase classname='BTS_Tests_LAPDm' name='TC_iframe_timer_recovery' time='6.657842'/> <testcase classname='BTS_Tests_LAPDm' name='TC_ns_seq_error' time='13.335603'/> <testcase classname='BTS_Tests_LAPDm' name='TC_nr_seq_error' time='2.913573'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rec_invalid_frame' time='137.878755'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_dcch' time='14.576600'/> <testcase classname='BTS_Tests_LAPDm' name='TC_segm_concat_sacch' time='59.606053'/> <testcase classname='BTS_Tests_LAPDm' name='TC_t200_n200' time='40.032012'/> <testcase classname='BTS_Tests_LAPDm' name='TC_rr_response_frame_loss' time='3.246199'/> <testcase classname='BTS_Tests_LAPDm' name='TC_incorrect_cr' time='15.048259'/> <testcase classname='BTS_Tests_LAPDm' name='TC_sabm_incorrect_c' time='4.291497'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment' time='22.061875'/> <testcase classname='BTS_Tests_LAPDm' name='TC_normal_reestablishment_state_unacked' time='14.279356'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vff' time='2.319884'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_vhh' time='2.581964'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_hvhh' time='2.527669'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.507421'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.510759'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.584128'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.544924'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vff' time='1.301938'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_vhh' time='1.401131'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_hvhh' time='1.358357'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='1.320700'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.324122'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.433438'/> <testcase classname='BTS_Tests_VAMOS' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.326416'/> <testcase classname='BTS_Tests_ASCI' name='TC_vbs_notification' time='4.634956'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_uplink_free_and_busy' time='1.710344'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_fail' time='16.459085'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_talker_est_rel' time='1.301011'/> <testcase classname='BTS_Tests_ASCI' name='TC_vgcs_listener_det' time='3.295748'/> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='242' failures='37' errors='1' skipped='0' inconc='0' time='3853.00'> <testcase classname='BTS_Tests:hopping' name='TC_est_dchan' time='14.958555'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_stress' time='2.835185'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_react' time='1.128395'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_deact_not_active' time='1.225982'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_wrong_nr' time='1.458927'/> <testcase classname='BTS_Tests:hopping' name='TC_deact_sacch' time='91.012282'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_filling' time='14.370858'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_info_mod' time='29.570594'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi' time='85.980977'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_multi_chg' time='94.315323'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act' time='29.387644'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_async' time='29.823309'/> <testcase classname='BTS_Tests:hopping' name='TC_sacch_chan_act_ho_sync' time='47.603883'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content' time='9.458787'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_content_emerg' time='9.817762'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_count' time='13.964048'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_max_ta' time='10.367033'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_rach' time='4.288184'/> <testcase classname='BTS_Tests:hopping' name='TC_ho_physical_info' time='3.082250'> <failure type='fail-verdict'>Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 138, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_thresh0' time='11.483547'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_idle_below_thresh' time='6.032030'/> <testcase classname='BTS_Tests:hopping' name='TC_rach_load_count' time='20.876662'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf' time='9.005379'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_facch' time='9.083680'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh' time='2.146276'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9277 BTS_Tests control part BTS_Tests.ttcn:3659 TC_meas_res_speech_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_facch' time='2.265367'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9278 BTS_Tests control part BTS_Tests.ttcn:3663 TC_meas_res_speech_tchh_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_toa256' time='2.243247'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9279 BTS_Tests control part BTS_Tests.ttcn:3678 TC_meas_res_speech_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchf' time='10.272718'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 31, reserved1 := '00'B, rxlev_s_u := 28, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9280 BTS_Tests control part BTS_Tests.ttcn:3692 TC_meas_res_sign_tchf testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh' time='2.103425'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9281 BTS_Tests control part BTS_Tests.ttcn:3705 TC_meas_res_sign_tchh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch4' time='33.400364'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_sdcch8' time='3.267790'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9283 BTS_Tests control part BTS_Tests.ttcn:3731 TC_meas_res_sign_sdcch8 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_sign_tchh_toa256' time='2.242976'> <failure type='fail-verdict'>"BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9284 BTS_Tests control part BTS_Tests.ttcn:3745 TC_meas_res_sign_tchh_toa256 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchf_sapi3' time='9.247904'/> <testcase classname='BTS_Tests:hopping' name='TC_meas_res_speech_tchh_sapi3' time='9.105796'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_start_ramp_up_bcch' time='7.752845'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_down_bcch' time='10.792025'/> <testcase classname='BTS_Tests:hopping' name='TC_tx_power_ramp_adm_state_change' time='21.184978'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9289 BTS_Tests control part BTS_Tests.ttcn:3039 TC_tx_power_ramp_adm_state_change testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_ass' time='2.308151'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_bs_pwr_static_power_control' time='2.518112'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_ctrl' time='1.616249'> <error type='DTE'></error> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active' time='11.619765'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_active2' time='11.461221'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_up' time='20.564973'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_down' time='11.281341'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_ass_updown' time='7.673726'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ms_pwr_dyn_max' time='11.120087'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ms_pwr' time='2.772454'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_chan_initial_ta' time='1.594092'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_modify_encr' time='14.133489'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_rf_resource_ind' time='14.854057'/> <testcase classname='BTS_Tests:hopping' name='TC_conn_fail_crit' time='26.644168'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_80percent' time='22.349956'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_80percent' time='22.371246'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent' time='34.394736'/> <testcase classname='BTS_Tests:hopping' name='TC_paging_tmsi_200percent' time='28.224930'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_protocol_error' time='0.764184'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_mand_ie_error' time='1.205223'/> <testcase classname='BTS_Tests:hopping' name='TC_rsl_ie_content_error' time='1.184880'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_default' time='9.232030'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_1' time='9.155377'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2bis' time='9.128530'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter' time='9.124657'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2ter_2bis' time='9.143763'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_2quater' time='17.136285'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13' time='9.046078'/> <testcase classname='BTS_Tests:hopping' name='TC_si_sched_13_2bis_2ter_2quater' time='17.138962'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_dlcx_not_active' time='1.017556'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_twice_not_active' time='1.229575'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_dlcx_not_active' time='1.231540'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' time='1.224124'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_sdcch_not_active' time='1.244391'/> <testcase classname='BTS_Tests:hopping' name='TC_ipa_crcx_ack_addr' time='1.250583'> <failure type='fail-verdict'>CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_paging_imsi_200percent_with_ps' time='34.691435'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req' time='3.764480'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_ts' time='7.192426'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_bts' time='7.166461'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_act_req_wrong_trx' time='7.172698'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req' time='9.197911'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_deact_req_wrong_ts' time='5.159034'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si1' time='1.182830'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si3' time='1.212802'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ver_si13' time='1.196118'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pdtch' time='4.288439'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ptcch' time='4.864563'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_bts' time='9.211835'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_trx' time='9.158102'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_wrong_ts' time='9.168981'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_ts_inactive' time='6.139669'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ptcch' time='6.206027'> <failure type='fail-verdict'>Timeout waiting for L1CTL_RACH_CONF BTS_Tests.ttcn:9345 BTS_Tests control part BTS_Tests.ttcn:5742 TC_pcu_ptcch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_agch' time='4.128797'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_pch' time='4.225540'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_pch' time='1.422911'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_req_imm_ass_agch' time='1.411598'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rach_content' time='9.927895'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_ext_rach_content' time='9.782834'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_data_ind_lqual_cb' time='5.164126'> <failure type='fail-verdict'>"BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" BTS_Tests.ttcn:9352 BTS_Tests control part BTS_Tests.ttcn:6019 TC_pcu_data_ind_lqual_cb testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_paging_from_rsl' time='1.258482'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_time_ind' time='9.012197'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rts_req' time='9.170360'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_oml_alert' time='4.174961'> <failure type='fail-verdict'>Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_pcu_rr_suspend' time='1.606777'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_multi' time='1.195243'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_reconnect' time='3.211386'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi3gprs' time='3.973953'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_noconnect_nosi4gprs' time='3.244551'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si3gprs' time='3.951315'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_connect_si4gprs' time='3.232374'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi3gprs' time='4.886081'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_disconnect_nosi4gprs' time='5.100589'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_verify_info_ind' time='3.157712'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_act_deact' time='4.229652'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_double_act' time='1.213264'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_deact' time='4.240580'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_act_tchf_act_nack' time='1.219671'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_info_ind_fh_params' time='1.208863'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv4' time='1.222000'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_nsvc_ipv6' time='1.209705'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_socket_two_nsvc' time='1.213710'/> <testcase classname='BTS_Tests:hopping' name='TC_pcu_interf_ind' time='6.413247'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_unsol_deact' time='1.184127'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchf_act' time='1.206308'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_act' time='1.244254'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_act' time='1.243349'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_tchh_race_act' time='2.838610'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_osmo_pdch_sdcch8_race_act' time='2.845867'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act' time='1.221493'/> <testcase classname='BTS_Tests:hopping' name='TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' time='1.230205'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_ind' time='45.694060'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_DCCH_3' time='1.105355'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_est_req_ACCH_3' time='2.929805'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_0' time='2.919912'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_DCCH_3' time='2.923325'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_0' time='26.802743'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9394 BTS_Tests control part BTS_Tests.ttcn:7422 TC_rll_rel_ind_ACCH_0 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_ind_ACCH_3' time='26.525609'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9395 BTS_Tests control part BTS_Tests.ttcn:7434 TC_rll_rel_ind_ACCH_3 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_rel_req' time='36.665158'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9396 BTS_Tests control part BTS_Tests.ttcn:7471 TC_rll_rel_req testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_DCCH' time='1.939854'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_req_ACCH' time='4.811502'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_DCCH' time='2.882984'/> <testcase classname='BTS_Tests:hopping' name='TC_rll_unit_data_ind_ACCH' time='10.484145'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a51' time='2.595384'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a52' time='2.677762'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a53' time='2.680985'/> <testcase classname='BTS_Tests:hopping' name='TC_chan_act_a54' time='2.687171'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a51' time='5.198071'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a52' time='5.155959'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a53' time='5.171093'/> <testcase classname='BTS_Tests:hopping' name='TC_encr_cmd_a54' time='5.169993'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_mdisc' time='1.168305'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_msg_type' time='1.256757'/> <testcase classname='BTS_Tests:hopping' name='TC_err_rep_wrong_sequence' time='1.228550'/> <testcase classname='BTS_Tests:hopping' name='TC_lapdm_selftest' time='0.003631'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame' time='18.378431'/> <testcase classname='BTS_Tests:hopping' name='TC_tch_sign_l2_fill_frame_dtxd' time='2.058985'> <failure type='fail-verdict'>Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_ping' time='24.679842'/> <testcase classname='BTS_Tests:hopping' name='TC_chopped_ipa_payload' time='42.555055'/> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_constant' time='16.279226'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_ms_pwr_ctrl_pf_ewma' time='31.357115'> <failure type='fail-verdict'>Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchf' time='7.246692'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_no_rtp_tchh' time='5.381921'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchf' time='7.479863'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_rtp_tchh' time='5.396126'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchf' time='3.329250'/> <testcase classname='BTS_Tests:hopping' name='TC_speech_osmux_tchh' time='3.396366'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf144' time='1.259812'> <failure type='fail-verdict'>"BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf96' time='2.087731'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf48' time='2.109341'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh48' time='2.343440'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchf24' time='1.767481'/> <testcase classname='BTS_Tests:hopping' name='TC_data_rtp_tchh24' time='2.345177'/> <testcase classname='BTS_Tests:hopping' name='TC_early_immediate_assignment' time='3.504236'/> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh' time='10.197667'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9442 BTS_Tests control part BTS_Tests.ttcn:9083 TC_acch_overpower_rxqual_thresh testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_rxqual_thresh_dtx' time='10.146341'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9443 BTS_Tests control part BTS_Tests.ttcn:9124 TC_acch_overpower_rxqual_thresh_dtx testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_facch' time='10.349950'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9444 BTS_Tests control part BTS_Tests.ttcn:9156 TC_acch_overpower_always_on_facch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_always_on_sacch' time='10.401707'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9445 BTS_Tests control part BTS_Tests.ttcn:9188 TC_acch_overpower_always_on_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests:hopping' name='TC_acch_overpower_limit' time='10.051674'> <failure type='fail-verdict'>Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9446 BTS_Tests control part BTS_Tests.ttcn:9219 TC_acch_overpower_limit testcase </failure> </testcase> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbch_load_idle_no_cbch' time='11.135740'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_1block' time='14.215343'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_2block' time='14.237208'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_3block' time='14.160679'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_4block' time='14.197631'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_multi' time='20.059247'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_schedule' time='14.079750'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_only' time='16.151864'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_and_normal' time='17.130764'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch4_default_then_null' time='26.126718'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_idle' time='16.048979'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch4_load_overload' time='18.131462'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_1block' time='14.045295'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_2block' time='14.133006'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_3block' time='14.014090'> <failure type='fail-verdict'>Timeout waiting for "Basic" CBCH block 2 of msg 0 BTS_Tests_SMSCB.ttcn:1106 BTS_Tests_SMSCB control part BTS_Tests_SMSCB.ttcn:581 TC_sms_cb_cmd_sdcch8_3block testcase </failure> </testcase> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_4block' time='13.313387'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_multi' time='20.187985'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_schedule' time='14.157427'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_only' time='15.997588'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_sms_cb_cmd_sdcch8_default_and_normal' time='17.124821'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_idle' time='16.120952'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_cbc_sdcch8_load_overload' time='18.165665'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro' time='12.128949'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_p1ro_end' time='16.079668'/> <testcase classname='BTS_Tests_SMSCB:hopping' name='TC_etws_pcu' time='1.049509'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0' time='2.874756'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi0_nopayload' time='21.424700'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi3' time='21.214902'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_ua_dcch_sapi4' time='21.213141'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_contention' time='21.202852'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit' time='3.067068'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_retransmit_bts' time='81.508537'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_invalid_resp' time='22.904894'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_dm' time='13.881916'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_establish_ign_first_sabm' time='8.079845'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_seq_and_ack' time='29.524242'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1484 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:579 TC_iframe_seq_and_ack testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_iframe_timer_recovery' time='24.498697'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1485 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:685 TC_iframe_timer_recovery testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_ns_seq_error' time='29.728033'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1486 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:768 TC_ns_seq_error testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_nr_seq_error' time='2.740759'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rec_invalid_frame' time='118.841505'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" BTS_Tests_LAPDm.ttcn:1488 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:961 TC_rec_invalid_frame testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_dcch' time='13.077882'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1489 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1088 TC_segm_concat_dcch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_segm_concat_sacch' time='48.389207'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1490 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1093 TC_segm_concat_sacch testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_t200_n200' time='44.567124'> <failure type='fail-verdict'>Missing RSL RLL ERROR INDICATION BTS_Tests_LAPDm.ttcn:1491 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1182 TC_t200_n200 testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_rr_response_frame_loss' time='6.494592'> <failure type='fail-verdict'>Timeout waiting for RR or REJ BTS_Tests_LAPDm.ttcn:1492 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1239 TC_rr_response_frame_loss testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_incorrect_cr' time='15.697095'/> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_sabm_incorrect_c' time='23.230818'> <failure type='fail-verdict'>"BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1494 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1341 TC_sabm_incorrect_c testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment' time='15.973831'> <failure type='fail-verdict'>"BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '31728867B9'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1495 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1403 TC_normal_reestablishment testcase </failure> </testcase> <testcase classname='BTS_Tests_LAPDm:hopping' name='TC_normal_reestablishment_state_unacked' time='29.791455'> <failure type='fail-verdict'>Received RSL ERROR IND &lt;unbound> BTS_Tests_LAPDm.ttcn:1496 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1470 TC_normal_reestablishment_state_unacked testcase </failure> </testcase> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vff' time='2.179003'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_vhh' time='2.576720'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_hvhh' time='2.542234'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_ipa_vff' time='2.513556'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vff' time='2.510627'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_vhh' time='2.589578'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_act_dyn_osmo_hvhh' time='2.549109'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vff' time='1.301093'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_vhh' time='1.410936'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_hvhh' time='1.354421'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_ipa_vff' time='1.331493'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vff' time='1.321502'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_vhh' time='1.402998'/> <testcase classname='BTS_Tests_VAMOS:hopping' name='TC_vamos_chan_mode_modify_dyn_osmo_hvhh' time='1.355043'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vbs_notification' time='4.656596'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_uplink_free_and_busy' time='1.693644'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_fail' time='16.470173'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_talker_est_rel' time='1.301605'/> <testcase classname='BTS_Tests_ASCI:hopping' name='TC_vgcs_listener_det' time='3.297303'/> </testsuite> <?xml version="1.0"?> <testsuite name='Titan' tests='17' failures='0' errors='0' skipped='0' inconc='0' time='95.00'> <testcase classname='BTS_Tests_OML' name='TC_wrong_mdisc' time='9.864649'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_msgtype' time='0.695386'/> <testcase classname='BTS_Tests_OML' name='TC_short_length' time='0.699936'/> <testcase classname='BTS_Tests_OML' name='TC_long_length' time='0.695676'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_placement' time='0.716916'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_seq' time='0.671059'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_obj_class' time='0.702413'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_bts_nr' time='0.695323'/> <testcase classname='BTS_Tests_OML' name='TC_wrong_trx_nr' time='0.698873'/> <testcase classname='BTS_Tests_OML' name='TC_radio_carrier_opstart_noattr' time='0.692446'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart' time='0.707945'/> <testcase classname='BTS_Tests_OML' name='TC_bts_opstart_noattr' time='0.688549'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart' time='0.703898'/> <testcase classname='BTS_Tests_OML' name='TC_ts_opstart_noattr' time='0.696201'/> <testcase classname='BTS_Tests_OML' name='TC_initial_state_reports' time='0.676362'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_osmo_pcu_anr_fwd' time='0.697970'/> <testcase classname='BTS_Tests_OML' name='TC_ipa_rsl_connect_nack' time='0.701557'/> </testsuite> Recording test results [Checks API] No suitable checks publisher found. Build step 'Publish JUnit test result report' changed build result to UNSTABLE Archiving artifacts Finished: UNSTABLE